首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 93 毫秒
1.
设计了由饱和区MOS电容调谐的环形压控振荡器(RVCO),并将其用于电荷泵锁相环(CPPLL)电路,其中电荷泵部分采用了能消除过冲注入电流的新型电荷泵电路,并采用SmartSpice软件和0.6μm混合信号的CMOS工艺参数进行了仿真。仿真结果表明,此锁相环的锁定时间为5.2μs,锁定范围约为100 MHz,输出中心频率622 MHz的最大周对周抖动为71ps,功耗为198 mW。此电荷泵锁相环电路可以应用于STM 1和STM 4两个速率级别的同步数字体系(SDH)系统。  相似文献   

2.
针对电荷泵锁相环的抖动问题,对CMOS电荷泵锁相环的压控振荡器电路进行改进;设计了一种采用增益补偿技术的压控振荡器,实现了可用于DC-DC变换器中与外部时钟同步的电荷泵锁相环.电路设计基于TSMC 0.18 μm CMOS工艺,采用HSPICE软件仿真验证.仿真结果表明,在3.3 V电源电压、-40 ℃~85 ℃温度范围内,该电荷泵锁相环能够与外部时钟同步于1.5 ~3.5 MHz的频率范围,锁定时间小于72 μs,功耗小于1.3 mW.  相似文献   

3.
无线通信收发系统需要锁定时间较短的锁相环,从而提高数据传输速率。文章主要对PFD和电荷泵模块进行研究,消除了PFD的盲区,引入的推入式电荷泵加快了锁相环的入锁。在此基础上设计了一种快速锁定电荷泵锁相环(CP-PLL),并采用TSMC 0.35um CMOS工艺,Cadence Spectre/Virtuoso仿真工具对其进行验证。经测试,PLL能实现信号频率从203.4MHz~286.6MHz范围内的锁定,锁定时间小于60个时钟周期,相位噪声-107.75dBc/Hz@1MHz,功耗小于13.15mW。  相似文献   

4.
基于SMIC的0.25μm工艺设计了一种输出频率范围为0.32~1.6GHz的电荷泵锁相环频率合成器电路.该电路采用了一种快速鉴频鉴相器和含有双交叉耦合结构的环形振荡器,同时根据电荷泵泵电流匹配的原则改进了电荷泵电路.HSIM仿真显示,锁相环频率合成器的锁定时间为1.3μz,功耗为28mW,锁定范围为5~20MHz,最大周对周抖动仅为50ps(0.8GHz).  相似文献   

5.
基于110 nm CMOS工艺设计了一种应用于HDMI接收端电路的宽频带低抖动锁相环。采用一种改进型双环结构电荷泵,在25~250 MHz的宽输入频率范围内实现了快速锁定。通过高相噪性能的伪差分环形振荡器产生了调谐范围为125 MHz~1.25 GHz的时钟信号。仿真实验结果表明,该锁相环的锁定时间小于1.2μs,在振荡器工作频率为0.8 GHz时,其相位噪声为-100.0 dBc/Hz@1 MHz,输出时钟峰峰值抖动为4.49 ps。  相似文献   

6.
袁莉  周玉梅  张锋 《半导体技术》2011,36(6):451-454,473
设计并实现了一种采用电感电容振荡器的电荷泵锁相环,分析了锁相环中鉴频/鉴相器(PFD)、电荷泵(CP)、环路滤波器(LP)、电感电容压控振荡器(VCO)的电路结构和设计考虑。锁相环芯片采用0.13μm MS&RF CMOS工艺制造。测试结果表明,锁相环锁定的频率为5.6~6.9 GHz。在6.25 GHz时,参考杂散为-51.57 dBc;1 MHz频偏处相位噪声为-98.35 dBc/Hz;10 MHz频偏处相位噪声为-120.3 dBc/Hz;在1.2 V/3.3 V电源电压下,锁相环的功耗为51.6 mW。芯片总面积为1.334 mm2。  相似文献   

7.
采用IBM 0.18 μm CMOS工艺,设计了一款应用于433 MHz ASK接收机中低杂散锁相环的电荷泵电路.设计采用与电源无关的带隙基准偏置电流源和运算放大器,实现了电荷泵充放电电流源的精确匹配,有效抑制了传统电荷泵对锁相环锁定状态中杂散信号的影响.电路在Cadence的Spectre工具下进行仿真,结果表明:当电源电压为1.8 V、参考电流为30 μA、输出电压范围在0.5~1.5 V时,充放电电流精确匹配,杂散小于-80 dB,其性能符合接收机系统要求.  相似文献   

8.
通过改进鉴频鉴相器(PFD)的电路结构,增加一个控制模块自适应调整电荷泵的充放电电流大小,设计了一种可快速锁定的宽频带电荷泵锁相环电路.当鉴频鉴相器输出的相位误差值大于控制模块中的延迟时间τ时,打开控制开关增加电荷泵的电流,从而增加环路带宽,减少环路滤波器的电阻值,实现快速锁定,环路稳定性不变.当环路接近锁定时,调整带宽到预设的优化值,保证了系统性能的最优化.基于SMIC 40nm CMOS工艺,完成电路设计与仿真.结果表明:在电源电压为2.5V时,该锁相环可实现输出频率范围为698~960 MHz,1 700~2 200MHz,2 300~2 700MHz,覆盖GSM,TD-SCDMA,WCDMA,TD-LTE四个通讯标准的工作频段,锁定时间小于12μs.  相似文献   

9.
采用高匹配电荷泵电路和高精度自动频率校准(AFC)电路,设计了一种低功耗低参考杂散电荷泵锁相环。锁相环包括D触发鉴频鉴相器、5 bit数字可编程调频LC压控振荡器(VCO)、16~400可编程分频器和AFC模块。采用高匹配电荷泵,通过增大电流镜输出阻抗的方法,减少电荷泵充放电失配。同时,AFC电路采用频段预选快速搜索方法,实现了低压控增益LC VCO精确频带锁定,扩展了振荡频率范围,且保持了较低的锁相环输出参考杂散。锁相环基于40 nm CMOS工艺设计,电源电压为1.1 V。仿真结果表明,电压匹配范围为0.19~0.88 V,振荡频率范围为5.9~6.4 GHz,功率小于6.5 mW@6 GHz,最大电流失配小于0.2%@75μA;当输出信号频率为6 GHz时,输出相位噪声为-113.3 dBc/Hz@1 MHz,参考杂散为-62.3 dBc。  相似文献   

10.
徐江  刘元  于奇 《电子元器件应用》2010,12(1):72-74,76
通过研究分析电荷泵锁相环的电路结构,给出了一种应用于超高速ADC的电荷泵锁相环的设计方法。该方法采用动态PFD(鉴频鉴相器)结构和CSA(Current Steer Amplifier)构架的压控振荡器(VCO)结构。在基于3.3V、0.35μm标准工艺在Cadence环境下的仿真结果表明,其VCO的输出频率范围为35MHz~1.3GHz,电荷泵锁相环的功耗为32.68mw,锁定时间仅为2.2μs。  相似文献   

11.
A 4224 MHz phase-locked loop (PLL) is implemented in 0.13 μm CMOS technology. A dynamic phase frequency detector is employed to shorten the delay reset time so as to minimize the noise introduced by the charge pump. Dynamic mismatch of charge pump is considered. By balancing the switch signals of the charge pump, a good dynamic matching characteristic is achieved. A high-speed digital frequency divider with balanced input load is also designed to improve in-band phase noise performance. The 4224 MHz PLL achieves phase noises of-94 dBc/Hz and -114.4 dBc/Hz at frequency offsets of 10 kHz and 1 MHz, respectively. The integrated RMS jitter of the PLL is 0.57 ps (100 Hz to 100 MHz) and the PLL has a reference spur of-63 dB with the second order passive low pass filter.  相似文献   

12.
采用GF 130 nm CMOS工艺,设计了一种低功耗低噪声的电荷泵型双环锁相环,该锁相环可应用于符合国际及中国标准的超高频射频识别阅读器芯片。通过对双环锁相环在带宽和工作频率上的合理设置,以及对压控振荡器中变容二极管偏置电阻及电荷泵中参考杂散的理论分析和优化设计,改进了锁相环电路功耗和噪声性能。仿真结果表明,该锁相环在输出工作频率范围为840~960 MHz时,功耗为31.21 mW,在距中心频率840.125 MHz频偏100 kHz处的相位噪声为 -108.5 dBc/Hz,频偏1 MHz处的相位噪声为 -132.3 dBc/Hz。与同类锁相环相比较,本文电路在噪声和功耗方面具有一定优势。  相似文献   

13.
基于TSMC 180 nm工艺设计并流片测试了一款用于高能物理实验的电子读出系统的低噪声、低功耗锁相环芯片。该芯片主要由鉴频鉴相器、电荷泵、环路滤波器、压控振荡器和分频器等子模块组成,在锁相环电荷泵模块中,使用共源共栅电流镜结构精准镜像电流以减小电流失配和用运放钳位电压进一步减小相位噪声。测试结果表明,该锁相环芯片在1.8 V电源电压、输入50 MHz参考时钟条件下,可稳定输出200 MHz的差分时钟信号,时钟均方根抖动为2.26 ps(0.45 mUI),相位噪声在1 MHz频偏处为-105.83 dBc/Hz。芯片整体功耗实测为23.4 mW,锁相环核心功耗为2.02 mW。  相似文献   

14.
本文设计了一款用于USB2.0时钟发生作用的低抖动、低功耗电荷泵式锁相环电路。其电路结构包含鉴频/鉴相器、电荷泵、环路滤波器、压控振荡器和分频器。电路设计是基于CSM0.18μmCMOS工艺,经HSPICE仿真表明,锁相环输出480MHz时钟的峰峰值抖动仅为5.01ps,功耗仅为8.3mW。  相似文献   

15.
基于130 nm CMOS工艺设计了一款特高频(UHF)频段的锁相环型小数分频频率综合器.电感电容式压控振荡器(LC VCO)片外调谐电感总值为2 nH时,其输出频率范围为1.06~1.24 GHz,调节调谐电感拓宽了频率输出范围,并利用开关电容阵列减小了压控振荡器的增益.使用电荷泵补偿电流优化了频率综合器的线性度与带内相位噪声.此外对电荷泵进行适当改进,确保了环路的稳定.测试结果表明,通过调节电荷泵补偿电流,频率综合器的带内相位噪声可优化3 dB以上,中心频率为1.12 GHz时,在1 kHz频偏处的带内相位噪声和1 MHz频偏处的带外相位噪声分别为-92.3和-120.9 dBc/Hz.最小频率分辨率为3 Hz,功耗为19.2 mW.  相似文献   

16.
以一种适用于现场可编程门阵列(FPGA)芯片的宽频率范围电荷泵锁相环(CPPLL)为例,介绍了一种通过添加简单辅助电路来减小锁相环(PLL)上电锁定时间的方法。该方法在传统电荷泵锁相环的基础上添加了预充电电路,可以大大减少压控振荡器控制电压(VCTRL)拉升的时间。除此之外还添加了频率比较电路,将较宽的频率范围分成若干个窄频率区间,并用窄频率区间的中心频率来作为关断预充电电流的判定频率,这样就可以在不影响PLL正常功能的情况下均衡宽频率范围锁相环各频率下的上电锁定时间。基于28 nm工艺,对添加了辅助电路的PLL进行spectre仿真验证,在频率范围为800~1600 MHz时,上电锁定时间为1.68~2.29μs。  相似文献   

17.
A low jitter,low spur multiphase phase-locked loop(PLL) for an impulse radio ultra-wideband(IR-UWB) receiver is presented.The PLL is based on a ring oscillator in order to simultaneously meet the jitter requirement, low power consumption and multiphase clock output.In this design,a noise and matching improved voltage-controlled oscillator(VCO) is devised to enhance the timing accuracy and phase noise performance of multiphase clocks.By good matching achieved in the charge pump and careful choice of the l...  相似文献   

18.
A phase noise cancellation technique and a charge pump linearization technique, both of which are insensitive to component errors, are presented and demonstrated as enabling components in a wideband CMOS delta-sigma fractional-N phase-locked loop (PLL). The PLL has a loop bandwidth of 460 kHz and is capable of 1-Mb/s in- loop FSK modulation at center frequencies of 2402 + k MHz for k = 0, 1, 2, ..., 78. For each frequency, measured results indicate that the peak spot phase noise reduction achieved by the phase noise cancellation technique is 16 dB or better, and the minimum suppression of fractional spurious tones achieved by the charge pump linearization technique is 8 dB or better. With both techniques enabled, the PLL achieves a worst-case phase noise of -121 dBc/Hz at 3-MHz offsets, and a worst-case in-band noise floor of -96 dBc/Hz. The PLL circuitry consumes 34.4 mA from 1.8-2.2-V supplies. The IC is realized in a 0.18-/spl mu/m mixed-signal CMOS process, and has a die size of 2.72 mm /spl times/ 2.47 mm.  相似文献   

19.
Cell-based fully integrated CMOS frequency synthesizers   总被引:1,自引:0,他引:1  
A family of standard cells for phase-locked loop (PLL) applications is presented. The applications are processed using a 1.5 μm, n-well, double-polysilicon, double-layer metal CMOS process. Applications include frequency synthesis for computer clock generation, disk drives, and pixel clock generators for computer monitors, with maximum frequencies up to 80 MHz. The synthesizers require no external components since the loop filter and oscillator are on chip with the phase frequency detector and the charge pump. Special voltage and current reference cells are discussed. Analysis of noise sources in the PLL demonstrates the need for reducing the phase noise of the system. A low phase noise is achieved through supply rejection techniques and by placing the oscillator in a high-gain feedback loop to minimize its noise contributions. Laboratory measurements of completed silicon show synthesizers with exceptionally linear gain, as well as transient responses and phase noise similar to predicted results  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号