首页 | 官方网站   微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   21篇
  免费   6篇
  国内免费   1篇
工业技术   28篇
  2023年   1篇
  2022年   1篇
  2020年   3篇
  2019年   2篇
  2018年   3篇
  2015年   1篇
  2012年   3篇
  2011年   3篇
  2010年   2篇
  2009年   2篇
  2008年   3篇
  2007年   1篇
  1991年   2篇
  1989年   1篇
排序方式: 共有28条查询结果,搜索用时 18 毫秒
1.
潘伟涛  郭奇珍 《农药》1989,28(3):15-16
创伤酸(Traumatic acid)的学名为2(E)-十二碳烯二酸(4),是一种植物生长调节剂,可促进植物伤口的愈合。据Rao等报道,创伤酸可用十一烯酸(1)与四氯化碳为原料,经下列反应制得,总收率约50%。  相似文献   
2.
报道了一种合成α,β-不饱和羧酸的简易方法。1-烯3与四氯化碳在 Ph_3P-Fe-EtOH 氧化-还原催化下,得1,1,1,3-四氯代烃4。然后在 KOH/BuOH-H_2O 中进行水解,得α,β-不饱和羧酸1。全程的产率38~64%。所有化合物的结构已得到 IR,~1HNMR 波谱的确证。  相似文献   
3.
在拦河闸工程施工中,闸底板及闸墩混凝土的施工制约整个工程的质量,而混凝土裂缝的控制在闸底板、闸墩等大体积混凝土施工中尤为重要。预浇半墩施工法在拦河闸工程施工中得到了广泛应用,并有效的解决了闸墩混凝土裂缝等问题,在施工中取得了良好的效果。  相似文献   
4.
潘伟涛  邱智亮 《电子器件》2012,35(2):221-226
为降低HINOC系统中CPU负荷,设计了一种HINOC MAC层的硬件加速协处理器,将部分软件功能采用硬件实现。设计采用硬件流分类机制及基于定长单元存储变长分组的队列管理方法,实现了各种业务流的快速分组、转发、调度等性能的明显提升。该设计通过了仿真及FPGA验证,实现了CPU、HIPHY及以太网之间数据的快速搬移处理。  相似文献   
5.
基于最新的CF/CF 3.0协议,设计了一款基于AHB总线的可配置的CF/CF 卡控制器.设计采用了高性能乒乓操作方式的改进异步FIFO.针对CF/CF 卡实际使用时往往只需要其某一两种模式的特点,采用了一种可定制可裁剪CF/CF 控制器电路的设计思路,极大地提高设计效率;探讨了一种基于SoC高性能接口控制器电路通用体系架构,已成功应用到多种接口控制器的设计中.设计通过了仿真(NC-Verilog)、综合(DC)以及FPGA验证,嵌入到单板系统中,实现了与CF存储卡之间的数据传输.  相似文献   
6.
针对数字集成电路规律性提取时由根节点选择产生的组合爆炸问题,提出了一种通过提取链状频繁子电路来降低根节点的算法。建立了顺序相关边权值模型,实现了小规模链状频繁子电路的快速提取。利用门级电路中链状模板与其他形状模板的结构依赖性,逐级删除非频繁根节点,避免了对小规模频繁子电路的重复提取,提高了规则性提取的效率。实验结果表明,该算法能够有效解决根节点组合爆炸问题,使支持度高的候选子电路得到优先提取,并显著减少了规律性提取的时间。  相似文献   
7.
针对数字IC规律性提取算法复杂度过高的问题,提出一种逐级对根节点进行分类的算法.通过对频繁边的直接扩展,实现了小规模频繁子电路的快速提取;利用门级电路中小规模频繁子电路与大规模频繁子电路间的结构依赖性,解决了候选子电路生成时根节点组合爆炸的问题.实验结果表明,该算法能够降低根节点的数量,使支持度高的候选子电路得到优先提取,并显著地减少了规律性提取的时间.  相似文献   
8.
9.
介绍了一种HINOC MAC层硬件加速协处理器的设计方案。首先概述了HINOC MAC层的主要功能,其次描述了MAC协处理器的主要功能、工作流程及实现方案,最后通过搭建测试平台,设计测试方案,验证了该设计能够实现以太网、HIPHY及CPU之间数据的快速搬移,达到减轻CPU处理负荷,提高HINOC端系统业务吞吐能力的预期目标。  相似文献   
10.
祖冲之(ZUC)算法是我国自主研制,纳入新一代宽带无线移动通信系统的国际加密标准,考虑现阶段祖冲之流密码算法的实现多为软件,效率和速度还有待提高。为适应大数据时代对高速数据实时加密的需求以及进一步推广 ZUC 算法的使用,结合 ZUC 算法特性,利用硬件描述语言 VHDL 对其进行高效的 FPGA 硬件设计,并使用 Xilinx 公司 ISE 软件进行综合仿真验证设计正确性,最后将模块封装成 IP 软核。结合 Xilinx 公司的 ARM 与 FPGA 联合平台设计相应的接口软件进行实际测试,性能分析和资源评估,为 ZUC 算法提供了一种高效硬件设计参考。最后给出 ZUC 模块在实际加密视频数据的应用,与纯软件实现相同功能相比,系统性能提升了3倍以上,可以满足实时视频数据的加密。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号