首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到16条相似文献,搜索用时 109 毫秒
1.
优化时延与拥挤度的增量式布局算法   总被引:1,自引:1,他引:0  
提出了一种优化时延的增量式布局算法,该算法根据时延分析的结果在迭代求解的过程中动态调整线网权值.在此基础上,提出了三种同时优化时延和拥挤度的多目标优化的布局算法,在满足时延和拥挤度约束的前提下对关键路径上的单元进行位置调整.实验结果表明该算法能够有效地提高芯片速度并降低走线拥挤.对于优化线长得到的布局方案,最长路径上的时延值在增量式布局之后能够降低10 % .  相似文献   

2.
提出了一种优化时延的增量式布局算法,该算法根据时延分析的结果在迭代求解的过程中动态调整线网权值.在此基础上,提出了三种同时优化时延和拥挤度的多目标优化的布局算法,在满足时延和拥挤度约束的前提下对关键路径上的单元进行位置调整.实验结果表明该算法能够有效地提高芯片速度并降低走线拥挤.对于优化线长得到的布局方案,最长路径上的时延值在增量式布局之后能够降低10%.  相似文献   

3.
TN4 2004050515优化时延与拥挤度的增t式布局算法/李卓远,吴为民,洪先龙(清华大学)”半导体学报一2 004,25(2)一158一164提出了一种优化时延的增量式布局算法,该算法根据时延分析的结果在迭代求解的过程中动态调整线网权值.在此基础上,提出了三种同时优化时延和拥挤度的多目标优化的布局算法,在满足时延和拥挤度约束的前提下对关键路径上的单元进行位置调整.实验结果表明该算法能够有效地提高芯片速度并降低走线拥挤.对于优化线长得到的布局方案,最长路径上的时延值在增量式布局之后能够降低10%图3表3参15(木)的散射参数解析表达式,给出了…  相似文献   

4.
张吉良  林亚平  吕勇强  周强  吴强 《电子学报》2012,40(12):2410-2414
 本文提出了一种基于敏感度的时延驱动的快速布局算法.该算法在解方程和单元扩散这两个阶段同时优化关键线网时延.其中基于敏感度的线网权重模型用于解方程阶段,基于关键路径的启发式单元优化算法用于单元扩散阶段.静态时延分析工具Astro分析结果表明:与FastPlace相比,最小负松弛量(worst negative slack)平均提高了25.82%,负松弛量总和(total negative slack)平均提高了20.53%,同时总线长仅平均增加3.14%且运行时间没有明显增加.  相似文献   

5.
SRAM(Static Random Access Memory)型FPGA凭借其动态结构调整的灵活性等特点, 被广泛应用于工业领域。针对动态可重构功能单元的布局问题, 分析了模拟退火解决方案的局限性, 提出了基于电路分层划分和时延驱动的在线布局算法。算法首先按最小分割原则将电路划分为一定数目的层, 然后按自顶向下的原则在芯片的每一层中布局划分出的层, 同时保证电路关键路径的延时最小。实验结果表明, 所述算法在时延、线长和运行时间方面均优于VPR算法。  相似文献   

6.
提出了利用符号化矩计算模型进行性能驱动的多级布线方法.通过在模式布线阶段利用符号化矩计算模型,快速得到电路的高阶矩,并根据计算结果,采用合理的代价函数对时延串扰等性能指标进行预估,进而指导布线.实验结果显示,该算法在串扰优化方面得到较大的提高,布线结果兼顾了时延优化和信号波形质量优化.  相似文献   

7.
本文提出一个基于Kohonen自组织神经网络的以关键路径时延最小为优化目标的时延驱动布局算法。算法的关键是建立面向线网的样本矢量。与面向单元的样本矢量相比,面向线网的样本矢量不仅可以直接处理多端线网,而且能够描述时延信息。实验结果表明,这是一种有效的方法。  相似文献   

8.
一种基于CMOS工艺库的DSP专用MAC设计   总被引:1,自引:0,他引:1       下载免费PDF全文
陈继承  姚庆栋  刘鹏  史册 《电子学报》2004,32(8):1405-1408
针对基于标准CMOS单元库的DSP系统专用MAC设计,本文提出了构建多模式算法最小并集的通用MAC平台思想以满足各种运算模式要求,并提出了划分MAC平台结构功能方法以实现与多流水DSP系统的最佳匹配.在以16位为基本乘法单元的MAC具体应用中,本文提出了Booth编码和部分积联合产生、舍入运算前置至Wallace树中处理和Wallace树型加法器比对选择等优化方法以求用最小的代价实现完善的功能.电路综合实验表明采用本文所提出思想和方法可以有效减少MAC关键路径时延和电路门数.  相似文献   

9.
时延驱动的VLSI版图规划算法   总被引:2,自引:2,他引:0  
戚肖宁  冯之雁 《电子学报》1995,23(2):103-105
本文提出了时延驱动布图规划的思想。在用改进的广义力矢量法优化功能单元间连线时延的同时,运算非线性规划的方法进一步优化关键路径上功能单元的时延及连线时延。结果表明,这是一种有效的优化版图时延的方法。  相似文献   

10.
提出了一种新的时钟性能驱动的增量式布局算法,它针对目前工业界较为流行的标准单元布局,应用查找表模型来计算延迟.由于在布局阶段较早地考虑到时钟信息,可以通过调整单元位置,更有利于后续的有用偏差时钟布线和偏差优化问题.来自于工业界的测试用例结果表明,该算法可以有效地改善合理偏差范围的分布,而对电路的其它性能影响很小.  相似文献   

11.
To improve the path slack of Field Programmable Gate Array (FPGA), this paper proposes a timing slack optimization approach which utilizes the hybrid routing strategy of rip-up-retry and pathfinder. Firstly, effect of process variations on path slack is analyzed, and by constructing a col- location table of delay model that takes into account the multi-corner process, the complex statistical static timing analysis is successfully translated into a simple classical static timing analysis. Then, based on the hybrid routing strategy of rip-up-retry and pathfinder, by adjusting the critical path which detours a long distance, the critical path delay is reduced and the path slack is optimized. Experimental results show that, using the hybrid routing strategy, the number of paths with negative slack can be optimized (reduced) by 85.8% on average compared with the Versatile Place and Route (VPR) tim- ing-driven routing algorithm, while the run-time is only increased by 15.02% on average.  相似文献   

12.
The objective of delay testing is to detect any defects or variations that manifest into timing failures. In path based delay testing this is done by testing a subset of paths in the circuit that are more likely to fail and hence are critical. Since path delays are vector dependent, the set of critical paths selected depends on the vectors assumed when estimating the path delays. This implies that to find the real critical paths, it is important to consider the effect of dynamic (vector dependent) delay effects such as coupling noise and supply noise during path selection. In this work a methodology to incorporate the effect of coupling noise during path selection is described. For any given path, both logic and timing constraints are extracted and a constrained optimization problem is formulated to estimate the maximum path delay in the presence of coupling noise.  相似文献   

13.
As the operating speed of digital circuits dramatically increases with the advance of VLSI technology, it is becoming more critical to ensure that the circuits are free from timing-related design errors. In a traditional static timing approach nonfunctional paths cannot be distinguished from functional ones since the functionality of a circuit is ignored. This often results in overestimation of circuit delay and can degrade the circuit performance. In today's design methodology where the use of automated logic synthesis and module-based design are popular, circuits with a very large number of nonfunctional (false) paths are common. This paper describes an efficient logic-level timing analysis approach that can provide an accurate delay estimate of a digital circuit which may have many long false paths. By using logic incompatibilities in a circuit as constraints for critical path search, the algorithm determines the longest sensitizable path without explicit path enumeration. Since the number of false paths that can be implicitly eliminated is potentially exponential to the number of path constraints, performance improvement is significant  相似文献   

14.
Qi  X. Feng  Z. Yan  X. 《Electronics letters》1994,30(14):1112-1113
The idea of timing driven floorplanning is presented. While optimising the interconnection (wire) delay between cells using the weighted min-cut method, the authors used the nonlinear programming method to reduce both the cell and interconnection delays in the critical paths. Experiments on the examples produced promising results, indicating that the method is effective at optimising the layout phase in VLSI design  相似文献   

15.
Crosstalk noise reduction in synthesized digital logic circuits   总被引:1,自引:0,他引:1  
As CMOS technology scales into the deep submicrometer regime, digital noise is becoming a metric of importance comparable to area, timing, and power, for analysis and design of CMOS VLSI systems. Noise has two detrimental effects in digital circuits: First, it can destroy logical information carried by a circuit net. Second, it causes delay uncertainty: Non critical paths might become critical because of noise. As a result, circuit speed becomes limited by noise, primarily because of capacitive coupling between wires. Most design approaches address the crosstalk noise problem at the layout generation stage, or via postlayout corrections. With continued scaling, too many circuit nets require corrections for noise, causing a design convergence problem. This work suggests to consider noise at the gate-level netlist generation stage. The paper presents a simplified analysis of on-chip crosstalk models, and demonstrates the significance of crosstalk between local wires within synthesized circuit blocks. A design flow is proposed for automatically synthesizing CMOS circuits that have improved robustness to noise effects, using standard tools, by limiting the range of gate strengths available in the cell library. The synthesized circuits incur a penalty in area/power, which can be partially recovered in a single postlayout corrective iteration. Results of design experiments indicate that delay uncertainty is the most important noise-related concern in synthesized static CMOS logic. Using a standard synthesis methodology, critical path delay differences up to 18% of the clock cycle time have been observed in functional blocks of microprocessor circuits. By using the proposed design flow, timing uncertainty was reduced to below 3%, with area and power penalties below 20%.  相似文献   

16.
An algorithm is presented for obtaining placements of cell-based very large scale integrated circuits, subject to timing constraints based on table-lookup model. A new timing delay model based on some delay tables of fabricators is first simplified and deduced; then it is formulated as a constrained programming problem using the new timing delay model. The approach combines the well-known quadratic placement with bottom-up clustering, as well as the slicing partitioning strategy, which has been tested on a set of sample circuits from industry and the results obtained show that it is very promising.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号