首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 187 毫秒
1.
介绍了一种基于FPGA的全数字单芯片交流伺服驱动系统。采用现代EDA设计方法,使用VerilogHDL硬件描述语言完成了永磁同步电动机矢量控制系统的坐标变换、空间矢量脉宽调制(SVPWM)、电流环、速度环以及串行通讯等电机控制模块的编程,在Xilinx3S400FPGA芯片中实现了永磁同步电动机转子磁场定向控制。  相似文献   

2.
永磁同步电动机控制系统的FPGA设计实现   总被引:1,自引:0,他引:1  
介绍一种利用FPGA实现的高性能永磁同步电动机矢量控制系统。在分析了永磁同步电动机的数学模型和空间矢量控制方案的基础上,采用分模块化设计思想设计了基于FPGA的永磁同步电动机控制系统。使用VHDL硬件描述语言构建了永磁同步电动机矢量控制系统的空间矢量脉宽调制(SVPWM)、编码器解码模块、PI调节器模块、角度计算模块等硬件逻辑电路。最后在Altera cyclone 4CE115 FPGA中,结合电机功率驱动板和永磁同步电动机对系统整体进行了实验验证。  相似文献   

3.
采用新型电压空间矢量脉宽调制算法对三电平逆变器的电压空间矢量平面进行简化,将其电压空间矢量平面简化至两电平空间矢量平面,使用两电平逆变器脉宽调制方法对三电平逆变器进行调制,简化了中点电位平衡控制策略.分别建立了MATLAB7.0下的仿真平台及DSP F2812为主控制器的硬件实验平台,通过仿真及实验验证了该算法及其对中点电位控制的有效性.  相似文献   

4.
模块化多电平变流器(MMC)是一种新型的多电平电压源变流器,每个桥臂由数个具有独立直流源的子模块单元串联而成。随着子模块增加,其空间矢量调制算法也越来越繁杂。提出一种基于60°坐标系下MMC任意电平逆变器的空间矢量脉宽调制通用算法;并将模块化多电平变流器并联接入电力系统中实现无功补偿技术,实现了内环解耦控制和外环的子模块电容电压平衡控制,最后通过仿真结果验证了60°坐标系空间矢量脉宽调制算法和该无功补偿装置控制算法的正确性和扩展性。  相似文献   

5.
设计了全数字化的三电甲逆变器传统七段式空间电压矢量脉宽调制(SVPWM)异步调制模块,通过Xilinx的FPGA实现.设计的SVPWM采刚模块化设计思想,可扩展性强.模块通过修改某些参数或输入可灵活配置逆变器的开关频率和死区时间,可移植性好.实验征明,该模块具有很好的调制效果,计算精度高,电压电流磁通波形理想.使用FPGA独立完成调制功能,大大减少了牵引传动系统中DSP的工作量,有利于复杂算法程序在DSP中的实现.  相似文献   

6.
两电平空间矢量的硬件实现   总被引:1,自引:0,他引:1  
针对空间矢量调制(Space Vector Pulse Width Modulation,简称SVPWM)硬件实现的特点,提出了一种适合硬件实现的空间矢量调制算法。该算法利用空间矢量图的几何对称性把矢量调制算法的计算集中在一个扇区内完成,有效减少了矢量调制的计算量并降低了算法对硬件资源的要求。在Quartus Ⅱ 6.0开发平台中完成空间矢量算法的全硬件实现设计。最后,在单片可编程逻辑阵列(Field Programmable Gate Array,简称FPGA)芯片内实现全硬件空间矢量算法,并在功率电路中予以验证。实验结果证明了两电平空间矢量调制硬件实现的正确性。  相似文献   

7.
单芯片交流伺服电动机速度控制器的实现   总被引:2,自引:0,他引:2  
采用磁场定向矢量控制(FOC)和空间矢量脉宽调制方式(SVPWM),通过模块化的EDA设计方法在单个FPGA芯片内综合了包括:FOC、SVPWM、电流环、速度环PI调节器、通讯接口等复杂的控制模块。介绍了相关控制模块的控制原理和设计思想,并给出了EDA设计的仿真结果,最后给出了速度控制实验波形。由于采用了矢量控制,与VVVF感应电动机速率伺服系统相比具有更好的线性调速特性和动态性能。  相似文献   

8.
介绍了一种新型的五电平逆变器电压空间矢量脉宽调制(SVPWM)算法,该算法中不含复杂的三角函数运算,因此便于利用FPGA实现。设计了一种基于DSP和FPGA的硬件控制电路,其中FPGA主要用于实现SVPWM算法。给出了该算法利用FPGA实现的具体方法和步骤,并且利用Quartus II软件对该算法进行了仿真和实验验证。仿真和实验结果与理论计算相符,验证了本设计是正确且有效的。  相似文献   

9.
为充分利用现场可编程门阵列(FPGA)逻辑运算能力并减小资源占用,提出一种传统三相空间矢量脉宽调制(SVPWM)算法的快速FPGA实现方法。通过定义2个中间电压分量,将传统三相SVPWM扇区判断、矢量作用时间计算中涉及的三角函数、乘除法运算,全部简化为加法或逻辑运算,大幅降低了运算量,且非常适合于FPGA编程实现。通过计算机仿真、硬件在环(HIL)仿真和实验验证所提算法的正确性和有效性。  相似文献   

10.
为了研究与实现高性能、全数字化的速度伺服系统,在永磁同步电动机的数学模型基础上,依据矢量控制理论,采用现代EDA设计方法,利用可编程逻辑阵列(FPGA)、智能功率模块(IPM)和增量式光电编码器等,实现了基于转子磁场定向控制(FOC)策略的全数字化速度伺服系统,给出了位置检测、调节器、矢量变换、电压空间矢量脉宽调制(SVPWM)和通讯等模块的实现方法.实验结果表明,该系统能够在8 μs内完成矢量控制算法,其电流环带宽达到4kHz,具有响应快速,调速范围宽等优点.  相似文献   

11.
吴平仿  吴定会  纪志成 《微特电机》2006,34(10):26-28,40
根据SVPWM的基本原理,提出了一种软件和硬件相结合设计的SVPWM新方法.利用人工神经网络计算参考电压矢量,而参考电压矢量所在扇区用感知器网络进行判断,矢量作用时间及开关开通时间采用软件和硬件相结合的设计方法进行计算.整个系统在System Generator(SG)中进行建模和仿真,成功后将模型下载到FPGA芯片,产生了SVPWM波形,实验证明了该方法的有效性.  相似文献   

12.
分析了三电平SVPWM发生器中所使用单片DSP的缺点,研究了基于DSP-CPLD组合的三电平SVPWM发生器.该矢量发牛器采用一种三电平参考电压分解的空间矢量简化算法,使计算得到简化.设计了基于DSP-CPLD的三电平矢量发生器,它能够节省DSP芯片资源,并使三相PWM波实现同步输出.仿真和实验验证了该三电平矢量发生器的可行性和简易性.  相似文献   

13.
在变频电机绝缘测试中,重复脉冲方波电压不能完全模拟变频器输出的PWM电压反映变频电机绝缘失效机理,并且同一实验中使用不同电源影响实验数据的一致性。该文章设计了一台直接输出式PWM电压发生器,既可以输出模拟变频器实际的SPWM电压和SVPWM电压用于绝缘测试,又可以输出重复脉冲方波电压开展对比实验。首先,设计了基于FPGA的脉冲信号发生器,产生基波频率、开关频率可调的SPWM、SVPWM触发脉冲以及频率、占空比可调的重复方波脉冲;然后,设计了基于固态推挽开关的斩波器,在脉冲信号的触发下输出对应的双极性高压脉冲;最后,使用该脉冲电压发生器开展了SPWM电压下变频电机绝缘局部放电实验,验证了系统的可靠性和实用性。  相似文献   

14.
为了实现一种结构简单,控制方便,高效率,高功率密度的逆变器的应用,该文提出一种适合于直流环节谐振逆变器的SVPWM的数字控制策略,使每个开关周期只需要一次谐振网络的谐振就可以实现逆变桥的三个桥臂开关管的ZVS,大大减少了谐振网络的工作次数,这对减少开关损耗,提高开关频率,提高直流母线电压利用率都有很大的好处。归纳出新型SVPWM的发生逻辑并采用FPGA产生所需的SVPWM驱动脉冲以减小DSP的计算时间开销。此新型数字控制策略与传统的数字空间矢量控制之间接口非常方便,传统SVPWM的输出可作为FPGA的输入,从而可保留传统SVPWM的控制算法部分。仿真波形和实验结果验证了新型空间矢量PWM算法的正确性和可行性。  相似文献   

15.
16.
基于SVPWM调制的三段式算法研究   总被引:2,自引:1,他引:1       下载免费PDF全文
在三相逆变中,传统的SVPWM调制算法涉及到坐标变换和矢量分解,有较多的三角函数运算、矩阵运算和无理数运算,其复杂的计算降低了控制系统的实时性要求。提出了一种SVPWM三段式调制及其计算方法和实现方法,其优点是简化了传统SVPWM调制的繁琐计算,仅通过查表和简单乘法计算可完成调制。扇区的判断和逆变桥驱动波生成由组合逻辑实现。调制和驱动波可由硬件实现,也可由软件实现。对设计原理及要求做了说明,并对SVPWM三段式调制做了Simulink仿真和电路实验。通过分析、仿真和实验,证明了SVPWM三段式调制及其计算方法是可行的。  相似文献   

17.
火电厂后备柴油发电机监控系统设计与实现   总被引:2,自引:0,他引:2  
为柴油发电机组设计了一套高性能的控制系统代替原有的机械触点式继电器控制系统.监控系统的硬件系统主要采用S7-300型号的可编程逻辑控制器(PLC).着重介绍了系统自启动逻辑和380 V线路保护逻辑.选用西门子STEP7V5.3软件包,采用功能块结构化编程方法编译PLC的监控程序.调试和试运行结果表明,系统明显提高了应急柴油发电机组自启动成功率、可靠性和响应速度.  相似文献   

18.
岳舟 《电力电子技术》2011,45(6):4-5,13
提出一种新型单级Buck-Boost光伏逆变器拓扑结构.该拓扑仅需5个功率开关和2个电力二极管,无需 直流分裂电容,开关频率低,通过调节占空比可在1个功率级内产生幅值高于或低于输入直流电压的交流电压,适用于AC模块.详细分析了所提逆变器的工作原理,并利用状态空间平均法建立了系统数学模型.采用SPWM控制方法,对系统进行...  相似文献   

19.
基于DSP和FPGA的SVPWM的实现研究   总被引:3,自引:0,他引:3  
利用DSP和FPGA提出了一种采用软件和硬件相结合的方式实现SVPWM的方法。设计了一种非对称的可以加入死区补偿功能的PWM波形产生方法,分析了这种方法的优缺点, 并给出了仿真结果。  相似文献   

20.
提出一种双馈风电系统中网侧变换器的设计与控制方案。首先,根据网侧变换器原理设计控制策略,控制策略采用d,q轴控制;其次,介绍了空间矢量脉宽调制(SVPWM)的一般实现方案,并根据TMS320F2812提出了一些改进;详细介绍了系统的软件设计;最后给出实验结果证明了该方案的可行性和有效性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号