首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We have constructed a theory of polarimetry of illumination used in 193 nm lithography equipments, fabricated a polarimeter mask, and demonstrated it for a hyper-NA (numerical aperture) immersion lithography scanner. The polarimeter mask comprises newly developed thin polarizers and wide-view-angle quarter-wave (λ/4) plates. Although a light traveling through these polarization devices on the polarimeter mask reaches an image detector at the wafer level through a projection optics, Stokes parameters of the illumination light can be measured with no influence from polarization characteristics of the projection optics between the mask and the image detector.  相似文献   

2.
193 nm光刻散射条技术研究   总被引:1,自引:0,他引:1  
介绍了193nm光刻中的散射条技术,并利用标量衍射和傅里叶光学理论,对掩模和光瞳平面上衍射图形的空间频率进行了深入的分析,从理论上解释了散射条的工作原理。通过商用光刻模拟软件PROLITH,对散射条的参数进行了优化,并总结出193nm光刻中孤立线散射条的优化方法。  相似文献   

3.
苏朋 《红外与激光工程》2022,51(7):20210524-1-20210524-5
照明系统是投影光刻曝光光学系统的重要组成部分,它实现的功能是为掩模面提供高均匀性照明、控制曝光剂量以及不同照明模式。变焦系统作为光刻照明系统的重要组成部分,对提高整个光刻机的性能起着至关重要的作用。文中针对紫外光刻照明系统的特点,采用CODE V软件完成了波长365 nm,入瞳直径Φ33 mm,像方远心度≤10 mrad,畸变≤±2%近紫外光刻照明系统中变焦系统的设计,分析了变焦系统的误差源对系统光瞳性能的影响,结合变焦系统的设计方案和实际加工能力,给出单面厚度公差需小于20 μm,动件移动精度小于0.5 nm,各透镜偏心公差小于0.02 mm,各透镜倾斜公差控制在1′之内。制定公差合理、可行,满足了紫外光刻照明系统高均匀性、高能量利用率的要求。  相似文献   

4.
均匀辐照365nm LED光源设计及其在光刻中的应用   总被引:1,自引:0,他引:1  
王向贤  汪波  傅强  陈漪恺  胡继刚  张斗国  明海 《中国激光》2012,39(4):416001-223
优化设计了365nm紫外LED点光源阵列、聚焦透镜组的排布,实现了高强度均匀辐照的LED面光源。利用优化后的365nm LED面光源进行了接触式曝光光刻实验,所得刻写图形与掩模板图形一致。提出的基于365nm紫外LED阵列均匀辐照面光源的光刻方法具有结构简单、节能、环保等优势。  相似文献   

5.
硅集成电路光刻技术的发展与挑战   总被引:19,自引:2,他引:17  
从微电子集成电路技术发展的趋势,介绍了集成电路技术发展对光刻曝光技术的需求,综述了当前主流的DUV光学曝光技术和新一代曝光技术中的157nm光学曝光、13nm EUV曝光、电子束曝光、X射线曝光、离子束曝光和纳米印制光刻技术的发展状况及所面临的技术挑战.同时,对光学曝光技术中采用的各种分辨率增强技术如偏轴照明(OAI)、光学邻近效应校正(OPC)、移相掩膜(PSM)、硅片表面的平整化、光刻胶修剪(resist trimming)、抗反射功能和表面感光后的多层光刻胶等技术的原理进行了介绍,并对不同技术时代可能采用的曝光技术作了展望性的评述.  相似文献   

6.
In the present paper, we propose a novel method for measuring the even aberrations of lithographic projection optics by use of optimized phase-shifting marks on the test mask. The line/space ratio of the phase-shifting marks is optimized to obtain the maximum sensitivities of Zernike coefficients corresponding to even aberrations. Spherical aberration and astigmatism can be calculated from the focus shifts of phase-shifting gratings oriented at 0°, 45°, 90° and 135° at multiple illumination settings. The PROLITH simulation results show that, the measurement accuracy of spherical aberration and astigmatism obviously increase, after the optimization of the measurement mark.  相似文献   

7.
8.
Extreme ultraviolet lithography (EUVL) is a leading candidate for the 22 nm node lithography and beyond. However, there are still some critical problems before EUVL may be deployed in high-volume manufacturing. One of the critical problems is to estimate the EUVL aerial image formation for optical proximity correction (OPC) in order to compensate for EUVL effects such as shadowing and flare. This study discusses aerial image formation through modeling of optical transfer function to assimilate optical diffraction, long range layout dependent flare effects, and shadowing effects due to non-telecentric imaging optics in the EUV case. Hence, after optimizing optical process parameters to model the EUV aerial image, this study will investigate OPC modeling methods employed to compensate these optical effects in the mask design flow.  相似文献   

9.
《Microelectronic Engineering》2007,84(5-8):853-859
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and flash imprint lithography (S-FIL) is a unique method that has been designed from the beginning to enable precise overlay for creating multilevel devices. A photocurable low viscosity monomer is dispensed dropwise to meet the pattern density requirements of the device, thus enabling imprint patterning with a uniform residual layer across a field and across entire wafers. Further, S-FIL provides sub-100 nm feature resolution without the significant expense of multi-element, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates.This paper addresses steps required to achieve resolution at or below 32 nm. Gaussian-beam writers are now installed in mask shops and are being used to fabricate S-FIL templates. Although the throughput of these systems is low, they can nevertheless be applied towards applications such as unit process development and device prototyping.Resolution improvements were achieved by optimizing the ZEP520A resolution and exposure latitude. Key to the fabrication process was the introduction of thinner resist films and data biasing of the critical features. By employing a resist thickness of 70 nm and by negatively biasing features as much as 18 nm, 28 nm half-pitch imprints were obtained. Further processing improvements show promise for achieving 20 nm half-pitch features on a template.  相似文献   

10.
The influence of mask error enhancement factor (MEEF) on the mask shadowing effect was investigated for extreme ultraviolet lithography. Imaging properties including horizontal-vertical (H-V) CD (critical dimension) bias and MEEF change through the pitch according to absorber thickness and process condition were studied using aerial image simulation. The mask structure used in this study consisted of tantalum nitride (TaN) absorber and 2 nm ruthenium (Ru) capping layer on the 40 pair of Mo-Si multilayer. As the absorber thickness increased and the pattern pitch decreased, both H-V CD bias and MEEF increased. At the illumination condition of 0.32 numerical aperture (NA), the H-V CD bias variation through the pitch was negligible and slightly increased at 1:1 pitch, while it steeply increased at 1:1.2 and 1:1 pitch for NA of 0.25. The MEEF value was below 1.5 for all calculated absorber thicknesses when the pitch was from 1:1.2 to 1:5, whereas it was 3 with 64 nm thick TaN for 1:1 pitch at vertical pattern. With the increment of absorber thickness, the MEEF difference between the horizontal and vertical pattern increased. We also calculated the H-V overlapping process window (PW) according to TaN thickness using 22 nm 1:1 line and space (L/S) pattern. As absorber thickness decreased, the overlapping zone in the EL of the focus-exposure plots between the horizontal and vertical features increased. Enough image contrast and H-V overlapping PW could be achieved by applying 38 nm thick TaN.  相似文献   

11.
A theoretical analysis is given of ultimate-resolution imaging in optical lithography by off-axis illumination, a common approach to image quality improvement. On this basis, the optimization of light-source aperture configuration is addressed. The types of aperture considered are the circular dipole, the circular quadrupole, the simple annular aperture, the annular dipole, and the annular quadrupole. They are compared in terms of simultaneous transfer of transverse and longitudinal mask features.  相似文献   

12.
简要回顾了光学光刻技术的发展历程,从IC技术节点微细化要求对光刻技术的挑战方面讨论了光学光刻技术的发展趋势及进入32nm技术节点的可能性。  相似文献   

13.
In this paper, we present an optimized four-layer resist (PMMA and its copolymers) process for the fabrication of T-shaped gates used in compound semiconductor field effect transistors (FETs). The process is capable of producing a profile which acts as both the etch mask for the wide, asymmetric recess trench as well as the liftoff mask for a T-shaped gate metal. The resist profile is achieved in a single step using electron beam lithography, eliminating the need for two separate lithography steps and the crucial alignment between them. Gate lengths of 100 nm are achieved using this process. Recess widths on the drain side of the gate range from 50 to 300 nm, and recess widths on the source side of the gate are 50 nm.  相似文献   

14.
Advanced lithography requires resolution enhancement techniques (customized illumination mode, litho friendly design), and alternative process flow schemes (double exposure, double patterning) in order to meet the requirements of the ITRS technology roadmap and to extend the applications of a full-field scanner with a 1.35 numerical aperture (NA) that represents the physical limit of water-based immersion ArF lithography.Today, one of the most interesting alternative processes uses the patterning inversion through a negative tone development (NTD) process step. Traditionally, the patterning (contacts or trenches) is done by using a dark field mask in combination with positive tone resist and positive tone development (PTD). By using a solvent-based developer (NTD) and a bright field mask, the same features can be transferred into a positive resist with the benefit of better image contrast and, consequently, better line width roughness (LWR) and resolution.In this work we have explored the potential applications of NTD for trenches and contact holes for the 45 nm technology node requirements and beyond. The NTD process is a promising option considering the impact on process window, LWR, CD uniformity and defectivity. The experimental result of this alternative approach to print critical dark field levels in an advanced lithography boundary has been explored.  相似文献   

15.
掩模制作中的邻近效应   总被引:1,自引:0,他引:1  
计算模拟了激光束和电子束直写加工的掩模畸变,并分别用理想掩模和有畸变的掩模进行投影光学光刻过程的模拟和比较,讨论了光学邻近效应校正掩模在加工过程中所产生的畸变对传递到最终基片上的图形的影响。模拟分析指出,掩模加工中的邻近畸变应在设计光学邻近校正掩模时予以注意,即在掩模设计时,应把掩模加工中的邻近效应和光刻图形传递过程的邻近效应进行总体考虑,以便设计出最优化的掩模,获得最好的邻近效应校正效果。  相似文献   

16.
Double patterning is regarded as a potential candidate to achieve the 32 nm node in semiconductor manufacturing. A key problem for a standard litho-etch–litho-etch (LELE) double patterning process is to evaluate and tackle the impact of the wafer topography resulting from the hardmask pattern on the second lithography step. In this paper, we apply rigorous electromagnetic field (EMF) solvers to investigate the wafer topography effects. At first, the studied 3D mask is split into two masks. The topography resulting from the exposure with the first split mask is described by a patterned hardmask. Based on that, the bottom antireflective coating (BARC) thickness of the second wafer stack is optimized. Alternatively, a two beam interference and the full diffraction spectrum of the second mask are used as the illumination of the wafer stacks, respectively. Finally, simulated 3D resist profiles for different BARC thicknesses are shown. The importance of wafer topography impact, the optimization of topographic wafer stacks, and the possible solutions to compensate for the impact of the wafer topography are discussed.  相似文献   

17.
超精细图案光刻技术的研究与发展   总被引:5,自引:0,他引:5  
根据国内外研究和发展现状,对有望突破100nm超精细图案光刻分辨率的一些关键技术进行了阐述,其中包括曝光技术、掩模技术、光学系统改进和以离轴照明、相位移掩模、多重滤光和图形演算为代表的分辨率增强技术等。  相似文献   

18.
目前看来,193nm与x射线光刻技术都很有希望应用到0.13μm及0.13μm以下的集成电路工业中去,而掩模制作对这两种光刻技术而言是非常重要的。本文对193nm光学掩模与x射线掩模制造技术进行了对比分析。  相似文献   

19.
高分辨率投影光刻机光瞳整形技术   总被引:1,自引:0,他引:1  
在高分辨光学光刻技术中,光瞳整形技术针对不同的掩模图形产生特定的光瞳光强分布模式,从而实现分辨力增强,获得更好的成像性能。概述了高分辨率投影光刻机照明系统中基于衍射光学元件(DOE)、微透镜阵列(MLA)和微反射镜阵列(MMA)的3种光瞳整形技术,并对这些技术的工作原理、设计制作方法和性能特点进行了归纳与总结。  相似文献   

20.
航空图像中阴影区域的检测   总被引:13,自引:0,他引:13  
航空图像中的阴影区域可以提供大量的关于目标形状、相对位置、表面特性、高度的相关信息,本文首先对阴影的特征进行了研究,认为阴影对图像的影响是使所投射地表的表面光照强度降低,但不改变原有地表,目标表面的光照特征,如纹理特征及光照强度方向统计特征等。阴影区域内的灰度方差一般小于其他非阴影区域,不同阴影区域之间的灰度值具有较强的一致性。然后,本文利用基于二维直方图的最大熵门限化方法求得边缘图像,并对边缘图像进行了细化和跟踪,删除那些对比很弱、或者虽然对比度较强,但是不满足光照条件的边缘,得到阴影边缘。在门限化过程中使用了邻域函数和过渡区域,既保留了弱边缘,又最大程度地抑制了噪声对边缘的干扰。根据阴影边缘构出假想的阴影区域,并统计这些区域的灰度直方图,求得阴影区域的灰度区间。根据该灰度区间可以得到阴影区域,这些区域具有对比度较高、至少有一侧比较规则、光学性质类似的特点。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号