首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 140 毫秒
1.
A reliable method of forming very thin SiO2 films (<10 nm) has been developed by rapid thermal processing (RTP) in which in situ multiple RTP sequences have been employed. Sub-10-nm-thick SiO2 films formed by single-step RTP oxidation (RTO) are superior to conventional furnace-grown SiO2 on the SiO2 /Si interface characteristics, dielectric strength, and time-dependent dielectric-breakdown (TDDB) characteristics. It has been confirmed that the reliability of SiO2 film can be improved by pre-oxidation RTP cleaning (RTC) operated at 700-900°C for 20-60 s in a 1%HCl/Ar or H2 ambient. The authors discuss the dielectric reliability of the SiO2 films formed by single-step RTO in comparison with conventional furnace-grown SiO2 films. The effects and optimum conditions of RTC prior to RTO on the TDDB characteristics are demonstrated. The dielectric properties of nitrided SiO2 films formed via the N2O-oxynitridation process are described  相似文献   

2.
The effect of surface roughness of Si3N4 films on time-dependent dielectric breakdown (TDDB) characteristics of SiO2/Si3N4/SiO2 (ONO) stacked films was investigated. The surface roughness of Si3N 4 films-was found to become higher with increasing deposition temperature and to cause the degradation of TDDB characteristics of ONO films in DRAMs. A local thinning of ONO films, evaluated from the TDDB characteristics, agreed with the surface roughness measured by atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (XTEM). Dependence of time to breakdown of ONO films on the deposition conditions was interpreted by electric field intensification due to the surface roughness of Si3N4 films  相似文献   

3.
A process to planarize low-pressure chemical-vapor deposition (LPCVD) SiO2 films formed over the abrupt topography of fine-line (2.0-μm pitch) integrated circuits with two levels of metallization and pillar interconnections has been developed with sacrificial photoresist and plasma etching using response-surface methodology. To produce flat dielectric surfaces with this topography, the ratio of the measured etch rate of photoresist to that of phosphorus-doped SiO2 must be maintained at ~0.4 (3800 and 9100 Å/min, respectively) with an Ar/CF4/O2 high pressure plasma generated in a low radio-frequency etching system  相似文献   

4.
Ultra thin high-k zirconium oxide (equivalent oxide thickness 1.57 nm) films have been deposited on strained-Si/relaxed-Si0.8Ge0.2 heterolayers using zirconium tetra-tert-butoxide (ZTB) as an organometallic source at low temperature (<200 °C) by plasma enhanced chemical vapour deposition (PECVD) technique in a microwave (700 W, 2.45 GHz) plasma cavity discharge system at a pressure of 66.67 Pa. The trapping/detrapping behavior of charge carriers in ultra thin ZrO2 gate dielectric during constant current (CCS) and voltage stressing (CVS) has been investigated. Stress induced leakage current (SILC) through ZrO2 is modeled by taking into account the inelastic trap-assisted tunneling (ITAT) mechanism via traps located below the conduction band of ZrO2 layer. Trap generation rate and trap cross-section are extracted. A capture cross-section in the range of 10−19 cm2 as compared to 10−16 cm2 in SiO2 has been observed. The trapping charge density, Qot and charge centroid, Xt are also empirically modeled. The time dependence of defect density variation is calculated within the dispersive transport model, assuming that these defects are produced during random hopping transport of positively charge species in the insulating layer. Dielectric breakdown and reliability of the dielectric films have been studied using constant voltage stressing. A high time-dependent dielectric breakdown (TDDB, tbd > 1500 s) is observed under high constant voltage stress.  相似文献   

5.
Hydrogen annealing at 700-1100°C for 0-300 s has been combined with SiO2 formation by rapid thermal processing (RTP). The SiO2 films formed with the above processes were evaluated by C-V and I-V measurements and by time-dependent dielectric breakdown (TDDB) tests. These films provide longer time to breakdown andless positive charge generation than SiO2 films formed without H2 annealing. In particular, the SiO2 formation-H2 annealing SiO 2 formation process is quite effective in improving the dielectric strength of the thin RTP-SiO2 film  相似文献   

6.
Suitable replacement materials for ultrathin SiO2 in deeply scaled MOSFETs such as lattice polarizable films, which have much higher permittivities than SiO2, have bandgaps of only 3.0 to 4.0 eV. Due to these small bandgaps, the reliability of these films as a gate insulator is a serious concern. Ramped voltage, time dependent dielectric breakdown (TDDB), and capacitance-voltage (C-V) measurements were done on 190 Å layers of TiO2 which were deposited through the metal-organic chemical vapor deposition (MOCVD) of titanium tetrakis-isopropoxide. Measurements of the high- and low-frequency capacitance indicate that virtually no interface states are created during constant current injection stress. The increase in leakage current upon electrical stress may be due to the creation of uncharged, near interface states in the TiO2 film near the SiO2 interfacial layer that give rise to increased tunneling leakage  相似文献   

7.
Advances in lithography and thinner SiO2 gate oxides have enabled the scaling of MOS technologies to sub-0.25-μm feature size. High dielectric constant materials, such as Ta2O5 , have been suggested as a substitute for SiO2 as the gate material beyond tox≈25 Å. However, the Si-Ta 2O5 material system suffers from unacceptable levels of bulk fixed charge, high density of interface trap states, and low silicon interface carrier mobility. In this paper we present a solution to these issues through a novel synthesis of a thermally grown SiO2(10 Å)-Ta2O5 (MOCVD-50 Å)-SiO2 (LPCVD-5 Å) stacked dielectric. Transistors fabricated using this stacked gate dielectric exhibit excellent subthreshold behaviour, saturation characteristics, and drive currents  相似文献   

8.
A remote plasma chemical vapor deposition (RPCVD) of SiO2 was investigated for forming an interface of SiO2/Si at a low temperature below 300°C. A good SiO2/Si interface was formed on Si substrates through decomposition and reaction of SiH4 gas with oxygen radical by confining plasma using mesh plates. The density of interface traps (Dit) was as low as 3.4×1010 cm-2eV-1. N- and p-channel Al-gate poly-Si TFTs were fabricated at 270°C with SiO2 films as a gate oxide formed by RPCVD and laser crystallized poly-crystalline films formed by a pulsed XeCl excimer laser. They showed good characteristics of a low threshold voltage of 1.5 V (n-channel) and -1.5 V (p-channel), and a high carrier mobility of 400 cm2/Vs  相似文献   

9.
Trimethylsilane, (CH3)3SiH, is a non-pyrophoric organosilicon gas. This material is easily used to deposit dielectric thin films in standard PECVD systems designed for SiH4. In addition to deposition of standard dielectrics (e.g. SiO2), trimethylsilane can be used to deposit reduced permittivity (low-k) dielectric versions of amorphous hydrogenated silicon carbide and its oxides. The low-k carbides (k<5.5) are highly insulating and useful as hard masks, etch stops and copper diffusion barriers. The low-k oxides (2.6<k<3.0) are useful as intermetal dielectrics, and exhibit stability and electrical properties which can meet many specifications in device fabrication that are now placed on SiO2. This paper reviews PECVD processing using trimethylsilane. Examples will show that the 3MS-based dielectrics can be used in place of SiH4-based oxides and nitrides in advanced device multilevel metal interconnection schemes to provide improved circuit performance.  相似文献   

10.
The electrical characteristics of a novel HfTaON/SiO2 gate stack, which consists of a HfTaON film with a dielectric constant of 23 and a 10-Aring SiO2 interfacial layer, have been investigated for advanced CMOS applications. The HfTaON/SiO2 gate stack provided much lower gate leakage current against SiO2 , good interface properties, excellent transistor characteristics, and superior carrier mobility. Compared to HfON/SiO2, improved thermal stability was also observed in the HfTaON/SiO2 gate stack. Moreover, charge-trapping-induced threshold voltage V th instability was examined for the HfTaON/SiO2 and HfON/SiO2 gate stacks. The HfTaON/SiO2 gate stack exhibited significant suppression of the Vth instability compared to the HfON/SiO2, in particular, for nMOSFETs. The excellent performances observed in the HfTaON/SiO2 gate stack indicate that it has the potential to replace conventional SiO2 or SiON as gate dielectric for advanced CMOS applications  相似文献   

11.
We describe the deposition of amorphous Zr-Sn-Ti-O (aZTT) dielectric thin films using conventional on-axis reactive sputtering. Thin films of composition Zr0.2Sn0.2Ti0.6 O2 have excellent dielectric properties: 40-50-nm thick films with a dielectric constant of 50-70 were obtained, depending on the processing conditions, yielding a specific capacitance of 9-17 fF/μm2. Breakdown fields were measured to be 3-5 MV/cm, yielding a figure of merit εε0Ebr=15-30 μC/cm2, up to eightfold higher than conventional deposited SiO2. Leakage currents, measured at 1.0 MV/cm, were in the range 10-9-10-7 A/cm2. This material appears well-suited for use in Si-IC device technology, for example as storage capacitors in DRAM  相似文献   

12.
A non-stoichiometric silicon oxide film has been deposited by evaporating SiO as a source material in Ar and O2 mixed gas. The film is composed of SiO and SiO2, and has a porous structure. The SiO2 results from some part of SiO reacting with O2 and its amount depends on the pressure in the chamber. The residual SiO in the film can be photo-oxidized into SiO2 by ultraviolet radiation with a Hg lamp. The dielectric constant of the film after photo-oxidation is 1.89±0.04 (at frequency of 1 MHz), which shows that this porous structure film is promising for potential application as a low-k dielectric.  相似文献   

13.
A challenge to integrate Cu in device interconnections is to avoid Cu diffusion into silicon active zone that could seriously damage device performance, and into interlevel dielectric that could induce shorts or degrade dielectric performance. This paper relates the integration of Cu-CVD with SiO2. Structures studied are SiO2 deposited on Cu-CVD, and SiO2/SiN/Cu structure: a thin SiN layer is deposited on Cu before SiO2 to act as diffusion barrier and as an etch stop during the interconnect structure patterning. Both SiO2 and SiN dielectric processes are made in plasma-enhanced chemical vapor deposition processes, from SiH4 precursor with addition of, respectively, N2O or NH3. Cu contamination is shown to occur during the dielectric deposition onto Cu, and is enhanced by the fluorine presence in the deposition chamber. Deposition processes were evaluated in order to lower Cu contamination in the dielectric bulk. On an other hand, a noticeable degradation in Cu layer resistance was evidenced after dielectric deposition due to copper contamination during the dielectric deposition process. This issue can be addressed by the optimization of the dielectric deposition process.  相似文献   

14.
Effects of oxide growth temperature on time-dependent dielectric breakdown (TDDB) characteristics of thin (115 Å) N2O-grown oxides are investigated and compared with those for conventional O2-grown SiO2 films with identical thickness. Results show that TDDB characteristics of N2O oxides are strongly dependent on the growth temperature and, unlike conventional SiO2, TDDB properties are much degraded for N 2O oxides with an increase in growth temperature. Large undulations at the Si/SiO2 interface, caused by locally retarded oxide growth due to interfacial nitrogen, are suggested as a likely cause of degradation of TDDB characteristics in N2O oxides grown at higher temperatures  相似文献   

15.
Time dependent dielectric breakdown (TDDB) and stress-induced leakage current (SILC) are investigated for the reliability of (Ba,Sr)TiO3 (BST) thin films. Both time to breakdown (TBD) versus electric field (E) and TBD versus 1/E plots show universal straight lines, independent of the film thickness, and predict lifetimes longer than 10 y at +1 V for 50 nm BST films with an SiO2 equivalent thickness of 0.70 nm. SILC is observed at +1 V after electrical stress of BST films; nevertheless, 10 y reliable operation for Gbit-scale DRAMs is predicted in spite of charge loss by SILC. Lower (Ba+Sr)/Ti ratio is found to be strongly beneficial for low leakage, low SILC, long TBD, and therefore greater long-term reliability. This suggests a worthwhile tradeoff against the dielectric constant, which peaks at a (Ba+Sr)/Ti ratio of 1.05  相似文献   

16.
Spatial Distributions of Trapping Centers in HfO2/SiO2 Gate Stack   总被引:1,自引:0,他引:1  
An analysis methodology for charge pumping (CP) measurements was developed and applied to extract spatial distributions of traps in SiO 2/HfO2 gate stacks. This analysis indicates that the traps accessible by CP measurements in the frequency range down to a few kilohertz are located primarily within the SiO2 layer and HfO2/SiO2 interface region. The trap density in the SiO2 layer increases closer to the high-kappa dielectric, while the trap spatial profile as a function of the distance from the high-kappa film was found to be dependent on high-kappa film characteristics. These results point to interactions with the high-kappa dielectric as a cause of trap generation in the interfacial SiO2 layer  相似文献   

17.
The dielectric breakdown mechanism of SiO2 has been discussed on the basis of the experimental results of the post-breakdown resistance (Rbd) distribution. We have noticed for the first time that Rbd of SiO2 in MOS devices is strongly related to the SiO2 breakdown characteristics such as the polarity dependence or the oxide field dependence of Qbd. In this paper, we discuss the dielectric breakdown mechanism of SiO2 from the viewpoint of the statistical correlation between the R bd distribution, the Qbd. distribution, and the emission energy just at the SiO2 breakdown, by changing the stress polarity, stress field, and the oxide thickness. For complete dielectric breakdown, it has been clarified that the Rbd distribution under the substrate electron injection is clearly different from that under the gate electron injection. We have also found that, irrespective of the stress current density, the gate oxide thickness and the stressing polarity, Rbd can be uniquely expressed by the energy dissipation at the occurrence of dielectric breakdown of SiO2 for the complete breakdown. Furthermore, it has been clarified that Rbd does not depend on the energy dissipation at the occurrence of quasidielectric breakdown  相似文献   

18.
Electrical characteristics of Al/yttrium oxide (~260 Å)/silicon dioxide (~40 Å)/Si and Al/yttrium oxide (~260 Å)/Si structures are described. The Al/Y2O3/SiO2/Si (MYOS) and Al/Y2 O3/Si (MYS) capacitors show very well-behaved I-V characteristics with leakage current density <10-10 A/cm2 at 5 V. High-frequency C- V and quasistatic C-V characteristics show very little hysteresis for bias ramp rate ranging from 10 to 100 mV/s. The average interface charge density (Qf+Q it) is ~6×1011/cm2 and interface state density Dit is ~1011 cm-2-eV-1 near the middle of the bandgap of silicon. The accumulation capacitance of this dielectric does not show an appreciable frequency dependence for frequencies varying from 10 kHz to 10 MHz. These electrical characteristics and dielectric constant of ~17-20 for yttrium oxide on SiO2/Si make it a variable dielectric for DRAM storage capacitors and for decoupling capacitors for on-chip and off-chip applications  相似文献   

19.
The densities of electron and hole traps in SiO2 films, thermally grown on Si substrates in ultra-dry oxygen, were compared with those in SiO2 films grown in pyrogenic steam (wet-oxide films). The results show that ultra-dry-oxide films have an undetectable density of electron traps that is less that 1011/cm2 , and little interface-states generation during carrier injection. However, hole traps in ultra-dry-oxide films are high, (2.6±0.1)×1012/cm2 compared with (1.3±0.2)×1012/cm2 in wet-oxide films, and these increase by a factor of two with post-oxidation anneal in ultra-dry Ar. The results of electron spin resonance measurements of E' centers in SiO2 films are consistent with the results of electrical measurements. These suggest that there is a tradeoff correlation between the density of electron traps and hole traps, with respect to the amount of water- or hydrogen-related defects in SiO2  相似文献   

20.
In this paper, n++-poly/SiOx/SiO2/p-sub capacitors with enhanced electron injection under substrate accumulation are extensively studied. First, systematic investigation of the role of technology parameters in the PECVD deposition of the SiOx films is presented. In particular, the effect of the silane dilution parameter on the device performance is investigated and the SiOx film optimized in terms of reliability and electron injection enhancement. Then, investigation of the electrical behavior of n++ -poly/SiOx/SiO2/p-sub MOS capacitors is presented. As a result, a picture of the space defect distribution in the SiOx films is proposed. In SiOx films, a relevant density of trapped charge adds to ionized impurities. In particular, the net charge is negative in the bulk of the dielectric, indicating that trapped electrons exceed all the other charge contributions. The space distribution of defects is strongly nonuniform and has the maximum in the vicinity of the SiOx/SiO2 interface. After dc current stress, the devices undergo electrical degradation, the dominant mechanism of degradation being the creation of interface hole traps. The trap generation model is based on the release of hydrogen and pairs generation in the SiOx films. The time-scale of trap filling during the stress is tens of seconds, which suggests that the stress-induced traps are deep in the energy gap  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号