首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 156 毫秒
1.
程智翔  徐钦  刘璐 《电子学报》2017,45(11):2810-2814
本文采用YON界面钝化层来改善HfO2栅介质Ge metal-oxide-semiconductor(MOS)器件的界面质量和电特性.比较研究了两种不同的YON制备方法:在Ar+N2氛围中溅射Y2O3靶直接淀积获得以及先在Ar+N2氛围中溅射Y靶淀积YN再于含氧氛围中退火形成YON.实验结果及XPS的分析表明,后者可以利用YN在退火过程中先于Ge表面吸收从界面扩散的O而氧化,从而阻挡了O扩散到达Ge表面,更有效抑制了界面处Ge氧化物的形成,获得了更优良的界面特性和电特性:较小的CET(1.66 nm),较大的k值(18.8),较低的界面态密度(7.79×1011 eV-1cm-2)和等效氧化物电荷密度(-4.83×1012 cm-2),低的栅极漏电流(3.40×10-4 A/cm2@Vg=Vfb+1 V)以及好的高场应力可靠性.  相似文献   

2.
开展了In As/Ga Sb Ⅱ类超晶格长波红外探测器的表面处理研究。通过对不同处理工艺形成台面器件的暗电流分析,发现N2O等离子处理结合快速热退火(RTA)的优化工艺能够显著改善长波器件电学性能。对于50%截止波长12.3μm的长波器件,在液氮温度,-0.05 V偏置下,表面处理后暗电流密度从5.88×10-1 A/cm2降低至4.09×10-2 A/cm2,零偏下表面电阻率从17.7Ωcm提高至284.4Ωcm,有效降低侧壁漏电流。但是该表面处理后的器件在大反偏压下仍有较大的侧壁漏电,这可能是由于高浓度的表面电荷使得大反偏下侧壁存在较高的隧穿电流。通过栅控结构器件的变栅压实验,验证了长波器件存在纯并联电阻及表面隧穿两种主要漏电机制。最后,对表面处理前后的暗电流进行拟合,处理后器件表面电荷浓度为3.72×1011 cm-2。  相似文献   

3.
以增强型β-Ga2O3 VDMOS器件作为研究对象,利用TCAD选择不同的栅介质材料作为研究变量,观察不同器件的单粒子栅穿效应敏感性。高k介质材料Al2O3和HfO2栅介质器件在源漏电压200 V、栅源电压-10 V的偏置条件下能有效抵御线性能量转移为98 MeV·cm2/mg的重离子攻击,SiO2栅介质器件则发生了单粒子栅穿效应(Single event gate rupture, SEGR)。采用HfO2作为栅介质时源漏电流和栅源电流分别下降92%和94%,峰值电场从1.5×107 V/cm下降至2×105 V/cm,避免了SEGR的发生。SEGR发生的原因是沟道处累积了大量的空穴,栅介质中的临界电场超过临界值导致了击穿,而高k栅介质可以有效降低器件敏感区域的碰撞发生率,抑制器件内电子空穴对的进一步生成,降低空穴累积的概率。  相似文献   

4.
为增强器件的反向耐压能力,降低器件的漏电功耗,采用Silvaco TCAD对沟槽底部具有SiO2间隔的结势垒肖特基二极管(TSOB)的器件特性进行了仿真研究。通过优化参数来改善导通压降(VF)-反向漏电流(IR)和击穿电压的折衷关系。室温下,沟槽深度为2.2 μm时,器件的击穿电压达到1 610 V。正向导通压降为2.1 V,在VF=3 V时正向电流密度为199 A/cm2。为进一步改善器件的反向阻断特性,在P型多晶硅掺杂的有源区生成一层SiO2来优化漂移区电场分布,此时改善的器件结构在维持正向导通压降2.1 V的前提下,击穿电压达到1 821 V,增加了13%。在1 000 V反向偏置电压下,反向漏电流密度比普通结构降低了87%,有效降低了器件的漏电功耗。普通器件结构的开/关电流比为2.6×103(1 V/-500 V),而改善的结构为1.3×104(1 V/-500 V)。  相似文献   

5.
We reported the influence of interface trap density(Nt) on the electrical properties of amorphous InSnZnO based thin-film transistors,which were fabricated at different direct-current(DC) magnetron sputtering powers.The device with the smallest Nt of 5.68×1011 cm-2 and low resistivity of 1.21×10-3Ω·cm exhibited a turn-on voltage(VON) of-3.60 V,a sub-threshold swing(S.S) of 0.16 V/dec and an on-off ratio(ION/IOFF) of8 x 108.With increasing Nt,the VON,S.S and ION/IOFF were suppressed to-9.40 V,0.24 V/dec and 2.59×108,respectively.The VTH shift under negative gate bias stress has also been estimated to investigate the electrical stability of the devices.The result showed that the reduction in Nt contributes to an improvement in the electrical properties and stability.  相似文献   

6.
近年来,金属卤化物钙钛矿材料因其强大的X射线吸收能力、高灵敏度和低制备成本而受到广泛关注[1]。本文基于二维漂移-扩散方程,模拟了铯银铋溴(Cs2AgBiBr6)钙钛矿基探测器的辐照响应特性。根据仿真结果显示,钙钛矿(Cs2AgBiBr6)基、硅(Si)基和非晶硒(α-Se)基的探测器之光电流依次可达8.1×10-3、1.1×10-3和5.7×10-5A。此外,钙钛矿基和非晶硒基探测器的光暗电流比(PDR)分别为9759和140000,远高于硅器件的水平。综合考虑成本及器件性能,Cs2AgBiBr6钙钛矿是有竞争力的新型辐照探测器有源层材料。  相似文献   

7.
This paper proposed a discrete operation mode for a punchthrough(PT) phototransistor,which is suitable for low power application,since the bias current is only necessary during the read-out phase.Moreover,simulation results show that with the new operation mode,the photocurrent is much larger than that of continuous operation mode.An ultra-high responsivity of 2×107A/W at 10-9 W/cm2 is obtained with a small detector size of 1μm2.In CMOS image sensor applications,with an integration time of 10 ms,a normalized pixel responsivity of 220 V·m2/W·s·μm2 is obtained without any auxiliary amplifier.  相似文献   

8.
系统研究了Al和Ni/Al两种金属体系在重掺杂p型SiC晶片上的欧姆接触特性和电学性质。利用X射线衍射、扫描电子显微镜和综合物性测量系统对这两种电极表面的微观结构和样品的电学性质进行了表征。结果表明:在真空环境下经过800℃退火后Al电极可呈现出欧姆接触行为,其比接触电阻率为1.98×10-3Ω·cm2,退火处理后Al电极与SiC在接触界面形成化合物Al4C3,有助于提高接触界面稳定性。在Ni/Al复合体系中,当Ni金属层厚度为50 nm时,其比接触电阻率显著降低至4.013×10-4Ω·cm2。退火后Ni与SiC在接触界面生成的Ni2Si有利于欧姆接触的形成和降低比接触电阻率。研究结果可为开发液相法生长的p型SiC晶片电子器件提供参考。  相似文献   

9.
阐述4H-SiC晶圆的Si面上通过CVD淀积与低温热氧化生长的双层栅氧化物结构,在高温氮气环境下可降低4H-SiC/SiO2界面的高密度界面缺陷。采用PECVD淀积一层均匀的SiO2膜后,通过热氧化工艺在淀积膜与4H-SiC/SiO2间生长一层很薄的氧化物过渡层。根据不同温区间热氧化温度形成的SiO2膜晶型不同,改变界面中氮气退火过程中氮元素的引入,从而钝化4H-SiC/SiO2的界面缺陷。  相似文献   

10.
为了分析4H-SiC/SiO2固定电荷和界面陷阱对MOSFET准静态电容-电压(C-V)特性曲线的影响机制,对不同栅氧氮退火条件下的n沟道4H-SiC双注入MOSFET(DIMOSFET)进行了氧化层中可动离子、界面陷阱分布和准静态C-V特性曲线的测试,并结合仿真探讨了测试频率、固定电荷、4H-SiC/SiO2界面陷阱分布对准静态C-V特性曲线的影响。实验和仿真结果表明:电子和空穴界面陷阱分别影响准静态C-V曲线的右半部分和左半部分;界面陷阱的E0、Es、N0(E0为陷阱能级中心与导带底能级或价带顶能级之差,Es为陷阱能级分布的宽度,N0为陷阱能级分布的密度峰值)对准静态C-V曲线的影响是综合的;当E0为0 eV,Es为0.2 eV,电子和空穴捕获截面均为1×10-18 cm2,电子和空穴界面陷阱的N0分...  相似文献   

11.
N-channel metal oxide semiconductor field effect transistors (MOSFETs) using Ta2O5, gate oxide were fabricated. The Ta2O5 films were deposited by plasma enhanced chemical vapor deposition. The IDS-VDS and IDS-VGS characteristics mere measured. The electron mobility was 333 cm2/V·s. The subthreshold swing was 73 mV/dec. The interface trapped charge density, the surface recombination velocity, and the minority carrier lifetime in the field-induced depletion region measured from gated diodes were 9.5×1012 cm-2 eV-1, 780 cm/s and 3×10-6 sec, respectively. A comparison with conventional MOSFETs using SiO2 gate oxide was made  相似文献   

12.
Sulfide passivated GaAs MISFET's with the gate insulator of photo-CVD grown P3N5 films have been successfully fabricated. The device shows the drain current instability less than 22% for the period of 1.0 s ~1.0×104 s, due to excellent properties of sulfide treated P3N5/GaAs interface. The effective electron mobility and extrinsic transconductance of the device are about 1300 cm2/V·sec and 1.33 mS, respectively, at room temperature. To estimate the effects of sulfide treatment on P3N5/GaAs interfacial properties, GaAs-MIS diodes are also fabricated  相似文献   

13.
High performance enhancement mode InP MISFET's have been successfully fabricated by using the sulfide passivation for lower interface states and with photo-CVD grown P3N5 film used as gate insulator. The MISFET's thus fabricated exhibited exhibited pinch-off behavior with essentially no hysteresis. Furthermore the device showed a superior stability of drain current. Specifically under the gate bias of 2 V for 104 seconds the room temperature drain current was shown to reduce from the initial value merely by 2.9% at the drain voltage of 4 V. The effective electron mobility and extrinsic transconductance are found to be about 2300 cm 2/V·s and 2.7 mS/mm, respectively. The capacitance-voltage characteristics of the sulfide passivated InP MIS diodes show little hysteresis and the minimum density of interface trap states as low as 2.6×1014/cm2 eV has been attained  相似文献   

14.
A new post-metallization annealing technique was developed to improve the quality of metal-oxide-semiconductor (MOS) devices using SiO 2 films formed by a parallel-plate remote plasma chemical vapor deposition as gate insulators. The quality of the interface between SiO2 and crystalline Si was investigated by capacitance-voltage (C-V) measurements. An H2O vapor annealing at 270°C for 30 min efficiently decreased the interface trap density to 2.0×1010 cm-2 eV-1, and the effective oxide charge density from 1×10 12 to 5×109 cm-2. This annealing process was also applied to the fabrication of Al-gate polycrystalline silicon thin film transistors (poly-Si TFT's) at 270°C. In p-channel poly-Si TFT's, the carrier mobility increased from 60-400 cm2 V-1 s-1 and the threshold voltage decreased from -5.5 to -1.7 V  相似文献   

15.
The spectroscopic properties of Ho3+ laser channels in KGd(WO4)2 crystals have been investigated using optical absorption, photoluminescence, and lifetime measurements. The radiative lifetimes of Ho3+ have been calculated through a Judd-Ofelt (JO) formalism using 300-K optical absorption results. The JO parameters obtained were Ω2=15.35×10-20 cm2, Ω 4=3.79×10-20 cm2, Ω6 =1.69×10-20 cm2. The 7-300-K lifetimes obtained in diluted (8·1018 cm-3) KGW:0.1% Ho samples are: τ(5F3)≈0.9 μs, τ( 5S2)=19-3.6 μs, and τ(5F5 )≈1.1 μs. For Ho concentrations below 1.5×1020 cm-3, multiphonon emission is the main source of non radiative losses, and the temperature independent multiphonon probability in KGW is found to follow the energy gap law τph -1(0)=βexp(-αΔE), where β=1.4×10-7 s-1, and α=1.4×103 cm. Above this holmium concentration, energy transfer between Ho impurities also contributes to the losses. The spectral distributions of the Ho3+ emission cross section σEM for several laser channels are calculated in σ- and π-polarized configurations. The peak a σEM values achieved for transitions to the 5I8 level are ≈2×10-20 cm2 in the σ-polarized configuration, and three main lasing peaks at 2.02, 2.05, and 2.07 μm are envisaged inside the 5I75I8 channel  相似文献   

16.
MOSFETs incorporating ZrO2 gate dielectrics were fabricated. The IDS-VDS, IDS-VGS , and gated diode characteristics were analyzed to investigate the ZrO2/Si interface properties. The interface trap density (D it) was determined to be about 7.4times1012 cm -2middoteV-1 using subthreshold swing measurement. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (tau 0,FIJ) measured from the gated diodes were about 3.5times10 3 cm/s and 2.6times10-6 s, respectively. The effective capture cross section of surface state (sigmas) was determined to be about 5.8times10-16 cm2 using the gated diode technique and the subthreshold swing measurement. A comparison with conventional MOSFETs using SiO2 gate oxides was also made  相似文献   

17.
Thin-film transistors (TFTs) have been made that incorporate a thin (~380 Å), high-quality plasma-enhanced chemical vapor deposition (PECVD) SiO2 film as the gate dielectric in a staggered-inverted structure. Threshold voltages and mobilities have been found to be in the range of 1.6-2.4 V and 0.20-0.25 cm2 V-1 s-1, respectively, where the exact values are dependent on the measurement technique used. Very low gate leakage currents (<10-11 A) were recorded when measured using a ramped I-V technique, even for electric fields as high as 5×106 V/cm  相似文献   

18.
The authors have fabricated a new low temperature polycrystalline silicon (poly-Si) thin film transistor (TFT) with silicon nitride (SiN x) ion-stopper and laser annealed poly-Si. The fabricated poly-Si TFT using SiNx as the ion-stopper as well as the gate insulator exhibited a field effect mobility of 110 cm2/Vs, subthreshold voltage of 5.5 V, subthreshold slope of 0.48 V/dec., and on/off current ratio of ~106. Low off-state leakage current of 2.4×10-2 A/μm at the drain voltage of 5 V and the gate voltage of -5 V was achieved  相似文献   

19.
Electrical and reliability properties of ultrathin La2O 3 gate dielectric have been investigated. The measured capacitance of 33 Å La2O3 gate dielectric is 7.2 μF/cm2 that gives an effective K value of 27 and an equivalent oxide thickness of 4.8 Å. Good dielectric integrity is evidenced from the low leakage current density of 0.06 A/cm2 at -1 V, high effective breakdown field of 13.5 MV/cm, low interface-trap density of 3×1010 eV-1/cm2, and excellent reliability with more than 10 years lifetime even at 2 V bias. In addition to high K, these dielectric properties are very close to conventional thermal SiO2   相似文献   

20.
By optimizing the inductively coupled plasma (ICP) oxidation condition, a thin oxide of 10 nm has been grown at 350°C to achieve excellent gate oxide integrity of low leakage current<5×10-8 A/cm2 (at 8 MV/cm), high breakdown field of 9.3 MV/cm and low interface trap density of 1.5×1011 /eV cm2. The superior performance poly-Si TFTs using such a thin ICP oxide were attained to achieve a high ON current of 110 μA/μm at VD=1 V and VG=5 V and the high electron field effect mobility of 231 cm2/V·S  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号