首页 | 官方网站   微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   3篇
  免费   0篇
自然科学   3篇
  2015年   1篇
  2012年   1篇
  2011年   1篇
排序方式: 共有3条查询结果,搜索用时 8 毫秒
1
1.
为研究黄芪药材质量与生长环境之间的关系,实验利用傅里叶变换红外光谱法(FTIR)测定了不同道地产区黄芪土壤样品的红外光谱,阐明了黄芪土壤的红外光谱特点。并以不同道地产区黄芪土壤的红外指纹图谱为标准,利用共有峰率和变异峰率2个指标,计算出16个样品之间的共有峰率和变异峰率,按照共有峰率大小进行排序,建立了共有峰率和变异峰率双指标序列分析法,同时结合聚类分析法,研究了不同道地产区黄芪土壤的异同。并以黄芪甲苷含量作为衡量黄芪药材质量的标准,根据相应产区黄芪药材中黄芪甲苷含量测定结果,找到黄芪甲苷含量最高的黄芪种植土壤,分析其成分与其他土壤的异同,从而为黄芪土壤改良提供参考依据。  相似文献   
2.
为培养高职学生综合职业素养,提高课程教学的实效性,引入项目教学法进行教学改革具有现实意义。阐述了项目教学法在PLC课程中的具体实施过程,总结了实施项目教学法的切身体会和注意事项。  相似文献   
3.
刘必旺 《科学技术与工程》2012,12(31):8281-8286
传统的开关电源数字设计方案大多基于单片机、DSP控制,但在实现PID控制算法的过程中,使用软件设计常会带来程序跑飞的严重后果。FPGA的高速和硬件支持,使得实施PID等控制比软件模拟出来的效果更为优秀,还能克服程序跑飞。设计采用MATLAB-SIMULINK,首先进行软件仿真、分析并测试其可行性,然后利用ALTERA公司的DSP builder将仿真模块生成FPGA硬件描述语言,实现PWM波和PID的设计。在控制方面,定制的NIOS软核起到了MCU的作用。利用VHDL语言实现ADC0809状态机控制。最后设计出各个功能模块的电路以及整个系统图和相关的NIOS控制程序流程图。设计的以EP2C35F672C8为控制核心的开关电源系统,利用软件仿真模型生成硬件代码语言,在FPGA中实现,双重保证了设计的可行性,实现了较理想的闭环控制效果。  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号