首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 109 毫秒
1.
吴繁红 《微计算机信息》2005,21(6Z):110-112
基于MCU和CPLD功能上有很强的互补性.以这两类器件相结合的电路结构,在现代电子系统设计中被广泛使用。若将12C总线控制器嵌入到系统中,将大大改善系统的结构、性能。本文介绍基于Motomla68000系列MCU和CPLD应用系统中12C总线控制器的设计与实现方法。  相似文献   

2.
基于CPLD的系统中I~2C总线的设计   总被引:6,自引:0,他引:6  
张昆  邱扬  刘浩 《电子技术应用》2003,29(11):40-42
在介绍I2C总线协议的基础上,讨论了基于CPLD的系统中I2C总线的设计技术,并结合工程实例设计了I2C总线IP核,给出了部分源代码和仿真结果。  相似文献   

3.
本文介绍了一种基于ARM微处理器S3C44B0X和CPLD的分立器件测试主机系统总线控制器,此控制器用在基于总线架构的半导体分立器件测试系统中。在分析了半导体分立器件测试系统的组成、S3C44B0X的总线特点及测试系统对测试主机系统总线性能要求后,通过CPLD的内部逻辑设计和相应的总线操作程序,完成了测试主机系统总线控制器的设计。  相似文献   

4.
I2C总线是一种芯片间互联的二进制总线,被广泛的应用在嵌入式系统设备中。Blackfin533是ADI公司一款新型的DSP芯片,该芯片处理器内部没有集成I2C总线控制器。本文在分析了I2C总线原理、协议时序和驱动结构的基础上,根据Blackfin533的特点,在uClinux2.6内核中设计并实现了相应的I2C总线驱动。  相似文献   

5.
上期<51单片机加CPLD让系统更高效>中,笔者详细介绍了一种MCU+CPLD系统设计方案,通过对MCU和CPLD的二次编程设计,实现不同的功能.本篇将结合Mini51板,详细介绍MCU与CPLD以总线接口方式实现地址锁存、地址译码、数码管驱动电路的设计,并给出数码管显示实例"9999计数器"流程图和源程序.  相似文献   

6.
虚拟I2C总线软件包的开发及其应用   总被引:1,自引:0,他引:1  
为了扩展I2C总线(Inter IC BUS)外围器件的使用范围,设计出了虚拟I2C总线软件包VIICC1.0,介绍了它的1个应用实例.结果表明:该软件包具有可靠的性能和广泛的适应性,有利于I2C接口器件在不带I2C总线接口的微控制器(MCU)系统中的应用.  相似文献   

7.
基于8051软核的SOPC系统设计与实现   总被引:2,自引:0,他引:2  
介绍了基于IP的可重用的SOC设计方法;选用MC8051IP核为核心控制器,自主开发了UARTIP核、I2CIP核、USBIP核,采用Wishbone片上总线架构,集成了一个MCU系统;同时设计了针对此MCU系统的微机调试软件和硬件调试器,并实现了MCU系统的FPGA验证和整个系统的功能验证。设计中采用了开发8051行为模型的方式,缩短了系统仿真的时间;而USBIP核设计则采用双缓冲区结构,方便了系统集成,提高了传输速度。  相似文献   

8.
为了扩展I∧2C总线(Inter IC BUS)外围器件的使用范围,设计出了虚拟I∧2C总线 软件包VIICC1.1,介绍了它的1个应用实例。结果表明:该软件包具有可靠的性能和广泛的适应性,有利于I∧2C总线接口器件在不带I∧2C总线接口的微控制器(MCU)系统中的应用。  相似文献   

9.
本文主要研究了I2C总线技术在多处理器领域的应用.简要阐述I2C总线及其数据收发技术;重点解决了多个处理器共用总线时数据传送问题--包括总线上的通信仲裁和处理器间数据收发的软件实现;并将基于I2C总线的多处理器模型应用在单元控制器领域.  相似文献   

10.
提出了一种基于I2C总线驱动的锅炉温度测量系统,介绍了系统的结构、硬件设计和软件开发。系统以单片机为核心,选用了I2C总线器件PCF8591实现A/D转换,及I2C总线接口的E2PROM芯片AT24C01存储温度值。系统的数据交换都是基于I2C总线的通信标准,该设计方案结构简单、易于扩展,提高了锅炉温度测量的精度和抗干扰能力,具有实际应用价值。  相似文献   

11.
鉴于目前通信端口的多样性,并且要实现对各种通信端口的功能测试、性能测试、误码率测试,提出一种基于C8051F020单片机与CPLD的多通信测试系统的设计方法。此方法主要是利用单片机的地址总线、数据总线和控制总线向外扩展,来实现单片机与CPLD对一些电子设备进行多通信资源的功能测试、性能测试、误码率测试。利用C8051F020和CPLD设计了4路RS485/RS422、1路RS485和1路RS232通信测试资源;此系统不仅提供了多路通信资源的测试,同时用户可根据自己通信测试的需要,灵活地选择自己所需的通信测试资源。  相似文献   

12.
单片机和CPLD的望远镜伺服控制器设计   总被引:2,自引:0,他引:2  
设计基于高速单片机C8051F120和CPLD的高精度大型望远镜的伺服控制器,由单片机实现闭环控制算法、上位机通信和LCD显示控制,CPLD实现增量式编码器计数、电机驱动波形发生以及I/O接口。该控制器可独立进行电机控制,也可配合上位机进行控制,具有实时性和抗干扰能力强、成本低、调试方便等特点。  相似文献   

13.
介绍了一种基于CAN总线的可扩展的嵌入式温度监测系统的设计方法,整个系统由嵌入式分控器和主控器两大部分组成。嵌入式分控器部分基于C8051F040单片机,结合单总线数字温度传感器DS18820,进行温度监测及显示实时温度。文章还阐述了基于CAN总线传输温度信号的主控器系统的设计方法。  相似文献   

14.
朱跃峰 《微计算机信息》2007,23(35):44-45,40
根据复杂化、网络化控制系统的要求,工业生产现场需要一种网络功能丰富、综合性能强、智能化程度高、可靠性好的控制器。本文完成以MCU为核心的嵌入式网络控制器设计。主要工作包括移植嵌入式操作系统;使用网络控制芯片CS8900A实现TCP/IP协议的网络通信工作;完成对底层SPI的驱动程序的编写,修改CPLD程序后可通过I/O口读写对控制系统底层的现场设备进行监控:使用Qt/Embedded开发嵌入式网络控制器部分图形界面。  相似文献   

15.
刘政  叶汉民 《自动化与仪表》2007,22(5):66-68,75
基于嵌入式系统中对图像实时采集的需要,提出了一种利用复杂可编程逻辑器件CPLD来设计DSP图像压缩系统中数据采集存储模块的方案,重点讨论了CPLD在数据采集过程中的工作流程和控制方法。全文详细分析了CPLD输入输出信号的逻辑控制时序关系,分别就模拟I2C总线、数据采集的逻辑功能设计、CPLD逻辑功能仿真验证等进行了详细介绍。  相似文献   

16.
为了满足伺服控制系统的高精度和实时性要求,设计了基于高速单片机和CPLD的伺服控制系统。该伺服系统不仅要与两轴编码器进行通信,而且还要向上位机传送电机的控制信息以及控制器的参数传输,而单片机系统只有两路异步串行通信接口,为了满足系统通信的需要,利用TI公司的TL16C752B芯片将单片机的异步串行通信端口扩展到4路。实验结果表明:用TL16C752B扩展的两路异步串行通信接口具有操作简单、工作稳定等优点,可以很好地完成该伺服控制器数据传输的任务。  相似文献   

17.
基于C8051F040单片机的最小CAN总线系统   总被引:1,自引:0,他引:1  
介绍了一种基于单片机C8051F040的最小CAN总线系统,阐述了CAN总线及其特点,以及C8051F040单片机中的CAN控制器,由它构成的下位机如何与上位机PC组成一个CAN总线系统。Cygnal公司的片上系统(SoC)级单片机C8051F040功能强大,代表了目前8位单片机控制系统的发展方向。它不仅集成了常用的外设,而且集成了逐渐成为控制领域首选的高可靠性,高性能的CAN控制器。  相似文献   

18.
单片机具有传输速度快、可靠性高、使用灵活等优点,常常作为一种通信接口规范应用在PC外设和便携式系统中。利用单片机与大规模CPLD的互补性,介绍了基于EDA技术的单片机与FPGA/CPLD总线接口逻辑设计,并给出了该接口芯片的单片机控制程序的源程序。结果表明该总线接口逻辑电路工作稳定、可靠,已经在高速数据采集的PC外设上得到应用。  相似文献   

19.
介绍一种基于CPLD的多普勒声纳回波信号仿真卡的设计实现,该板卡作为PXI测试系统的一个组成模块,数据交换基于PXI总线,使用S5920实现总线控制,利用CPLD实现板卡的时序逻辑控制,外围电路包括信号调理与匹配电路、DDS电路、A/D采集电路、高速FIFO存储电路、D/A转换电路等。重点介绍了CPLD内部功能模块的实现。该设计已成功应用于多普勒声纳的PXI测试系统中。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号