首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 76 毫秒
1.
论文针对多电平变换器的特点,介绍了基于两电平SVPWM、60°坐标系、虚空间矢量、神经网络以及虚坐标系等多种多电平变换器SVPWM的算法,分析这些算法的优缺点。  相似文献   

2.
三电平变换器SVPWM算法综述   总被引:1,自引:0,他引:1  
本文介绍了三电平空间矢量脉宽调制(SVPWM)的基本原理,根据空间矢量调制的规律,重点介绍了五种三电平SVPWM算法,并对它们各自的特点进行了分析,包括古典算法;参考电压分解SVPWM算法;基于线电压坐标系的SVPWM算法;基于60°坐标系的SVPWM算法:基于120°坐标系的SVPWM算法。最后针对上述五种算法,对三电平变换器中点电压的平衡控制进行了研究。  相似文献   

3.
传统三电平SVPWM算法复杂,根本原因是采用直角坐标系,判断参考矢量所落八的扇区等,需要大量的三角函数计算。针对于多电平SVPWM,在此指出了坐标系选取不当是造成计算复杂的根本原因。采用KL坐标系,空间矢量的合成仅含有乘法和加法运算,运算量的大小与电平数无关。仿真验证说明了算法可行且简单有效,避免了大量三角函数的运算。可以应用到三电平及多电平SVPWM算法中。  相似文献   

4.
基于瞬时功率理论,提出了采用功率和电路参数估算功率补偿装置端口电压的方法,采用60°坐标系下的三电平SVPWM调制算法,避免了传统三电平SVPWM算法复杂的三角函数运算,同时相比传统直接功率控制实现开关器件定频工作,相比于电压电流双环控制省去了锁相环节。最后利用Simulink搭建了NPC-STATCOM的仿真模型,仿真结果表明所提控制方法能够在保持装置直流侧中点电位平衡的基础上迅速对系统进行无功补偿。  相似文献   

5.
针对传统SVPWM算法计算复杂的缺点,提出一种基于60°坐标下三电平逆变器SVPWM调制算法的控制策略。该控制策略可大幅简化传统SVPWM算法参考矢量扇区判定及开关矢量作用时间的运算,通过在g-h非正交坐标系内对大小扇区规则判断、基本矢量作用时间计算和作用顺序方法进行了研究,减小了控制器的计算工作量。采用Matlab/Simulink仿真软件对该控制策略进行了仿真,仿真结果验证了该控制策略的正确性及有效性。  相似文献   

6.
本文提出了一种三电平PWM整流器的SVPWM算法。这种算法是将参考电压矢量转换到60°坐标系,然后再经过简单的算术运算即可计算出各基本矢量作用时间,避免了大量三角函数的运算。通过Matlab建立了三相三电平PWM整流器的电压定向控制系统的仿真模型,对该算法进行了仿真研究,结果验证了该算法的有效性。  相似文献   

7.
空间矢量发生是SVPWM的关键环节。矢量发生器的出现,使多电平SVPWM研究进一步类同于两电平SVPWM,研究者只需考虑算法问题,而无需担心其实现问题,这无疑会给研究带来极大的方便。文章根据矢量发生器特性,制作了一套实验系统,实验结果表明基于DSP和FPGA的多电平矢量发生逆变器的可行性。  相似文献   

8.
杨裕翠  宋毅 《电子世界》2012,(20):59-60
三电平逆变器属于电压型逆变器,它是多电平逆变器中比较有实用意义的一种电路,本文通过深入论述三电平逆变器SVPWM的基本原理及算法特点,总结了SVPWM的应用特点,为其能在工程领域应用提供一定的工程参考价值。  相似文献   

9.
研究了二极管箝位型三电平PWM整流器的基本原理,针对直流侧存在中点电位不平衡问题,提出了一种基于中点电荷预估控制的中点电位控制算法。建立了电网电压定向下三电平PWM整流器在d-q坐标系的数学模型,推导了平衡因子的精确计算方程,在简化三电平SVPWM算法的基础上,搭建了基于MATLAB的仿真模型,并进行了仿真研究,仿真结果验证了该算法的正确性和有效性。  相似文献   

10.
王珂  周二磊 《变频器世界》2011,(7):55-57,51
双PWM变频调速系统采用交一直一交拓扑结构,通过可控整流,实现网侧电流接近正弦波,网侧功率因数近似为1,具有较快的动态响应,双向传输电能等诸多优点,实现了节能调速。针对NPC型三电平拓扑给出了一种参考电压分解的三电平SVPWM算法,简化为两电平SVPWM算法,比传统的三电平SVPWM算法易于实现,仿真验证7该算法的有效...  相似文献   

11.
SVPWM Techniques and Applications in HTS PMSM Machines Control   总被引:2,自引:0,他引:2  
This paper introduces the principle of space vector pulse width modulation (SVPWM), and discusses a method for implementing the SVPWM based on MATLAB/SIMULINK, as well as modeling of AC servo system with permanent magnet synchronous motor (PMSM). Simulation results show that the model is effective, and the method provides a frame of reference for software and hardware designs which can be applied in high temperature superconducting (HTS) flywheel energy storage system (FESS) and linear motor (LM).  相似文献   

12.
SVPWM是电力电子技术教学中的重要内容,SVPWM教学中存在若干难点,目前的教材中都没有提及相电压和线电压的波形,难以给学生直观的印象,学生也难以了解SVPWM的工作机理。本文简洁地推导了SVPWM的相电压和线电压,画出相关波形,并对波形进行了分析,对SVPWM的教学有积极参考价值。  相似文献   

13.
基于电压空间矢量PWM(SVPWM)与正弦波PWM(SPWM)等效原理,建立了逆变器控制用的SVPWM的开关函数矩阵,并利用该开关函数矩阵具体实现了这种SVPWM的全数字控制,且很好地运用到工业变频器的产品开发中,最后给出了有关实验结果和波形。  相似文献   

14.
SVPWM是电力电子技术教学中的重要内容,SVPWM教学中存在若干难点,其中突出的是,SVPWM的理论基础在现有教材中没有提及。本文在证明冲量等效原理的基础上,将其推广到三线三相等效电路的线电压冲量等效原理,从而证明了SVPWM的理论基础,即空间矢量的冲量等效原理,从而有助于学生透彻理解SVPWM。  相似文献   

15.
直通分段SVPWM作为一种基于传统SVPWM调制改进而成的算法,目前没有文章对其仿真模型进行详细阐述。本文首先介绍了三相Z源逆变器工作原理及拓扑;然后在传统SVPWM调制原理及算法实现和仿真搭建的基础上做相应改变,说明了直通分段SVPWM原理并运用Matlab软件Simulink工具箱中模块进行仿真模型搭建;最后将其与三相Z源逆变器相结合搭建仿真模型。通过分析仿真结果,验证了直通分段SVPWM调制算法及所搭建仿真模型的正确性,从而为相关学习及使用者提供了可靠参考。  相似文献   

16.
石昆 《电子器件》2011,34(3):273-277
三相电压型光伏并网逆变器,直接控制其三相电流较困难.同步旋转坐标下基于PWM技术的PI控制方法动态响应快,且可实现对指令的无静差跟踪,但是d、q两相直流电流之间还存在耦合关系,不利于控制设计,同时还存在电网电压的影响,为了消除这些影响,运用了前馈解耦控制算法,结合空间矢量SVPWM给出了逆变器的电流控制策略.浮点数字信...  相似文献   

17.
正弦脉冲宽度调制(SPWM)技术应用于传统的电压型PWM整流中,SPWM的控制波形可由相间解耦得到。然而,这个过程复杂且直流电压利用率很低。本文提出了一种在同步参考坐标下的三相电压模型的控制策略,空间矢量脉冲宽度调制(SVPWM)。整流器具有高质量的直流侧电压和功率因数。文章提供了MATLAB/SIMULINK的仿真模型。结果证实了模型的正确性及其控制方法。仿真结果表明,与传统的SPWM方法相比,这种方法能明显提高系统的动态性能。  相似文献   

18.
SVPWM(空间电压矢量脉宽调制)控制存在着包括数学模型的构建、坐标变换、解耦控制及控制算法实现等多个难点,这些给学习和研究SVPWM控制技术的师生带来了很大的困难。本文在SVPWM控制技术的研究和应用中,总结出了三个容易被忽略的三角恒等式,为三相整流器数学模型构建、Park坐标变换及空间电压矢量合成的理解和实现提供帮...  相似文献   

19.
王霞  朱景伟  刁亮 《电子科技》2013,26(12):30-33
电压空间矢量脉宽调制能提高直流侧电压利用率,其应用范围已跨越变频调速系统,进入各个领域。文中在分析SVPWM原理的基础上,结合三相H桥逆变电路的特点,介绍了TMS320F28335的SVPWM信号发生器设计,并实现了逆变桥一相断路情况下的SVPWM波。通过硬软件结合,在DSP实验平台上进行了调试和实验观察,给出实验结果波形。实验证明,基于DSP的SVPWM信号发生器具有实现简单方便、易于数字化的特点,能更好地满足功率器件对驱动信号的不同要求,便于实现容错控制。  相似文献   

20.
This paper presents a new circuit realization of the space-vector pulse-width modulation (SVPWM) strategy. An SVPWM control integrated circuit (IC) has been developed using state of-the-art field-programmable gate array (FPGA) technology. The proposed SVPWM control scheme can be realized using only a single FPGA (XC4010) from Xilinx, Inc. The output fundamental frequency can be adjusted from 0.094 to 1500 Hz. The pulse-width modulation (PWM) switching frequency can be set from 381 Hz to 48.84 kHz. The delay time for the PWM gating signals is adjustable. This SVPWM IC can also be included in the digital current control loop for stator current regulation. The designed SVPWM IC can be incorporated with a digital signal processor (DSP) to provide a simple and effective solution for high-performance AC drives. Simulation and experimental results are given to verify the implemented SVPWM control IC  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号