首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
DVB-C解交织器的FPGA实现   总被引:2,自引:0,他引:2  
本文分析了卷积交织和解交织的基本原理,然后采用Altera的FPGA器件,用RAM分区循环移位法来实现解交织器。无论从理论上,还是从计算机仿真和综合结果上来分析,都可以看出用这种方法来实现DVB-C解交织器能有效地节省硬件资源。  相似文献   

2.
顾烊  张萌  孙伟  杨东   《电子器件》2006,29(2):497-499
采用了构造计数器来生成SRAM的读写地址的方法,设计了一种符合DVB-C标准,面向RS(204,188)码的卷积交织和解交织器.交织深度为12,单元深度为17。这种卷积交织和解交织器结构简单,性能良好,由于采用了单端口RAM,所以比一般的采用双端口RAM的设计节省了30%的电路面积。  相似文献   

3.
前向纠错技术中卷积交织器的FPGA实现   总被引:4,自引:3,他引:1  
介绍了信道编码中所采用的前向纠错编码(FEC)方案中的重要技术——卷积交织器和解交织器的原理,并在此基础上提出了基于FPGA的卷积交织器的设计方案。丈中对卷积交织器设计的关键部分,即读写地址的产生方法进行了详细分析,给出了一种新的地址计算方法,并通过对FPGA内部EAB资源的双口RAM的存储单元的读写操作的合理控制,实现了卷积交织。该设计具有实现简单、占硬件资源少等优点。  相似文献   

4.
基于DVB-C的卷积解交织器的设计与实现   总被引:3,自引:0,他引:3       下载免费PDF全文
朱敏君  吴建辉  吴俊   《电子器件》2007,30(2):579-581
本文设计了一种基于DVB-C的卷积解交织器,利用两个静态随机存取存储器(SRAM)来实现.用一SRAM来存储解交织的数据,读写共用一个地址,由基地址和偏移地址组成.同时,用另一SRAM来存储偏移地址.结果表明,卷积解交织器能实现功能,而且减小了电路复杂度,缩小了面积.  相似文献   

5.
一种基于双端口RAM的交织器的设计   总被引:1,自引:0,他引:1  
本文详细介绍了一种利用双端口RAM实现交织器的原理和设计,以DVB—C标准中的卷积交织器为例进行说明,最终在FPGA开发平台上进行验证和仿真。  相似文献   

6.
在对数字电视DVB标准的MPEG-2传输流采用差错控制技术时是以字节为单位并行处理的。研制开发的某QPSK调制解调数字通信系统只能输入输出串行数字信号,当用其传输使用DVB SSI或PDH接口加有RS前向纠错编码的串行MPEG-2传输流时可以参考DVB标准设计串行卷积交织与解交织器,整个设计在FPGA中具体实现。经实验验证,使用设计的卷积交织与解交织器与未使用相比QPSK数字通信系统性能明显提高。  相似文献   

7.
梁硕 《光通信研究》2008,34(4):63-66
超宽带基带系统采用M元双正交键控(MBOK)调制,该调制方式在提高数据传输速率时无需大幅度增加带宽.为了提高数据传输的可靠性,文章在编码前加入一种块交织器,该设计的独特之处在于采用两块RAM交替进行读写操作,使交织器的延时最小化.用VHDL语言编程,在FPGA上用QUARTUSⅡ软件进行仿真,结果证明,在MBOK系统中加入交织器后可以交织随机化突发错误,便于系统纠错功能的实现.  相似文献   

8.
卷积交织去交织快速算法的实现   总被引:1,自引:0,他引:1  
本文介绍了用TMS320C25芯片实现卷积交织/去交织的快速算法。TMS320C25芯片是高性能数字信号处理器,具备高速控制的灵活性和阵列处理器的数值运算能力。由于移动卫星通信信道的多径衰落和遮蔽效应,接收机容易出现突发差错,所以移动卫星通信信道设备常采用卷积交织/去交织编解码方法,改善通信质量。本文根据卷积交织/去交织的原理,提出了一个快速算法,并用TMS320C25的汇编语言实现了快速算法。利  相似文献   

9.
卷积交织器和解交织器的VHDL设计和FPGA实现   总被引:4,自引:0,他引:4  
梁小萍  肖嵩 《现代电子技术》2004,27(20):102-103,108
介绍了信道编码中所采用的前向纠错编码(FEC)方案中的重要技术——卷积交织器和解交织器的原理,并在此基础上提出了一种VHDL设计和FPGA实现方案,给出了具体的实现方法,该方法具有实现简单和占用资源少的优点。  相似文献   

10.
交织编码在3G系统中已经大量使用,数据通过卷积编码后进行分组交织,在接收端完成反交织,将连续误码分散成非连续误码,从而使得误码在纠错允许的范围内得到纠正;CPLD(复杂可编程逻辑器件)具有方便的在线编程功能,可以用来实现交织编码;考虑到矩阵交织器的多样性而设计的通用矩阵交织器,只须通过修改一些参数值即可实现不同的交织器,大大节省了设计时间。  相似文献   

11.
王玲 《今日电子》2001,(12):17-18,16
交织和解交织是组合信道纠错系统的一个重要环节,交织器和解交织器的实现方法有多种。本文利用Altera公司开发的Quartus软件平台和仿真环境,设计一种交织器和解交织器FPGA电路单倍实现的方法,并分析该电路实现的特点。 外交织的基本原理 实际信道中产生的错误往往是突发错误或突发错误与随机错误并存,如果首先把突发错误离散成随机错误,然后再去纠随机错误,那么系统的抗干扰性能就会进一步得到提高。交织器的作用就是将比较长的突发错误或多个突发错误离散成随机错误,即把错误离散化。交织器按交织方式可分为交织深度固定的交织器(如分组交织器和卷积交织器)和交织深度不断变化的随机交织器;按交织对象可分为码元交织器和码段交织  相似文献   

12.
介绍了数字通信中交织解交织的基本原理,提出了基于比特交织与解交织的实现方案。此方案采用以串入并出移位寄存器、交织解织运算逻辑电路和并入串出移位寄存器为核心的组成方式,并用CPLD芯片EPM7128对交织解交织器进行了设计实现。  相似文献   

13.
在对数字电视DVB标准的MPEG-2传输流采用差错控制技术时是以字节为单位并行处理的。研制开发的某QPSK调制解调数字通信系统只能输入输出串行数字信号,当用其传输使用DVB SSI或PDH接口加有RS前向纠错编码的串行MPEG-2传输流时可以参考DVB标准设计串行卷积交织与解交织器,整个设计在FP-GA中具体实现。经实验验证,使用设计的卷积交织与解交织器与未使用相比QPSK数字通信系统性能明显提高。  相似文献   

14.
针对无线光通信突发信道的特点,利用有限状态机FSM控制两片RAM的读写完成了交织器的设计,解决了使用单片RAM设计交织器所带来的数据不能连续输出的问题,并对该方法下的交织器进行了时序仿真,成功验证了基于FSM的交织器的功能。  相似文献   

15.
将块交织的交织或解交织的长方形块扩展为一个正方形,如果第一次是按行写入按列读出,则第二次按列写入按行读出,这样第二次写入与第一次读出在同一块存储器中基本同时进行,而又不会将第一次未处理的数据冲掉。如此一直交替下去,就只需要一块扩展后的存储器来完成交织或解交织,大大降低了需要的存储器的大小。同时在存储器的前面加一块小缓存,来增加存储器的位宽,便于处理。  相似文献   

16.
周加铳 《中国集成电路》2007,16(1):40-42,60
本文介绍了交织解交织的基本原理,针对地面数字视频广播系统中内交织解内交织的要求,提出了经过优化的硬件实现方案,着重分析了比特交织和符号交织及其解交织各组成模块的具体设计,采用VerilogHDL编写程序,最后用FPGA加以实现。  相似文献   

17.
李式巨  朱晖 《电子学报》1998,26(4):110-112
数字交织机和纠错编码结合是对付传输中突发错误的一种有效方法,本文在数字交织中引入数学中排列置换的概念,基于这个观点,提出了一种新的卷积交织器结构,它类似于程控交换机中的时分接线器,该方法比ADHD TVGA中提出的实现方法简便,效果相同。  相似文献   

18.
洪波  金宁  殷海兵  王秀敏  肖英 《通信技术》2010,43(5):87-88,220
在现代数字通信系统中,采用交织与解交织的技术来减少数据错误的发生。基于欧洲标准的DVB数字电视通信系统中,就采用这种技术。文中介绍了交织和解交织的原理,并提出了一种符合DVB标准,面向RS码的卷积交织器的设计方案。文中对读写地址的产生方法进行了详细的分析,并给出了交织器的仿真输出波形。  相似文献   

19.
基于EDA的纠错编码及数据交织ASIC的设计   总被引:1,自引:0,他引:1       下载免费PDF全文
张建斌  沈琳   《电子器件》2006,29(3):941-944,950
为了纠正数字通信中的随机错误和突发错误,提出了一种卷积编码和数据交织技术相结合的纠错编码方案,介绍了基于EDA技术的卷积纠错电路和数据交织器的设计方法,最终实现了利用MAX+plusⅡ对纠错系统的设计集成,形成了卷积编码和数据交织ASIC。仿真表明,该方案工作稳定,纠错性能与单独的卷积纠错法相比有很大改善。  相似文献   

20.
卷积交织是卫星数字传送前向纠错编码中用来解决突发性干扰的有效手段。文章分析了用FIFO移位寄存器方式组成的卷积交织器的工作过程,数据构成形式及抗突发性干扰性能。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号