首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Abstract-We report Al2O3Zln0.53Ga0.47As MOSFETs having both self-aligned in situ Mo source/drain ohmic contacts and self-aligned InAs source/drain n+ regions formed by MBE regrowth. The device epitaxial dimensions are small, as is required for 22-nm gate length MOSFETs; a 5-nm In0.53Ga0.47As channel with an In0.4sAl0.52As back confinement layer and the n++ source/drain junctions do not extend below the 5-nm channel. A device with 200-nm gate length showed ID = 0.95 mA/mum current density at VGS = 4.0 V and gm = 0.45 mS/mum peak transconductance at VDS = 2.0 V.  相似文献   

2.
We investigated 60-nm In0.52Al0.48As/In0.53Ga0.47As pseudomorphic high-electron mobility transistors (p-HEMTs) fabricated by using a Ne-based atomic-layer-etching (ALET) technology. The ALET process produced a reproducible etch rate of 1.47 Aring/cycle for an InP etch stop layer, an excellent InP etch selectivity of 70 against an In0.52Al0.48As barrier layer, and an rms surface-roughness value of 1.37 Aring for the exposed In0.52Al0.48As barrier after removing the InP etch stop layer. The application of the ALET technology for the gate recess of 60-nm In0.52Al0.48As/In0.53Ga0.47As p-HEMTs produced improved device parameters, including transconductance (GM), cutoff frequencies (fT)> and electron saturation velocity (vsat) in the channel layer, which is mainly due to the high etch selectivity and low plasma-induced damage to the gate area. The 60-nm In0.52Al0.48As/In0.53Ga0.47As p-HEMTs fabricated by using the ALET technology exhibited GM,Max = 1-17 S/mm, fT = 398 GHz, and vsat = 2.5 X 107 cm/s.  相似文献   

3.
The operation of long- and short-channel enhancement-mode In0.7Ga0.3As-channel MOSFETs with high-k gate dielectrics are demonstrated for the first time. The devices utilize an undoped buried-channel design. For a gate length of 5 mum, the long-channel devices have Vt= +0.25 V, a subthreshold slope of 150 mV/dec, an equivalent oxide thickness of 4.4 +/ - 0.3 nm, and a peak effective mobility of 1100 cm2/Vldrs. For a gate length of 260 nm, the short-channel devices have Vt=+0.5 V and a subthreshold slope of 200 mV/dec. Compared with Schottky-gated high-electron-mobility transistor devices, both long- and short-channel MOSFETs have two to four orders of magnitude lower gate leakage.  相似文献   

4.
In this paper, we have experimentally investigated the impact of lateral and vertical scaling of In0.7Ga0.3As high-electron-mobility transistors (HEMTs) onto their logic performance. We have found that reducing the In0.52Al0.48As insulator thickness results in much better electrostatic integrity and improved short-channel behavior down to a gate length of around 60 nm. Our nearly enhancement-mode 60-nm HEMTs feature VT = -0.02 V, DIBL = 93 mV/V, S = 88 mV/V, and ION/IOFF = 1.6 times104, at V DD = 0.5 V. We also estimate a gate delay of CV/I = 1.6 ps at VDD = 0.5 V. We have benchmarked these devices against state-of-the-art Si CMOS. For the same leakage current, which includes the gate leakage current, the InGaAs HEMTs exhibit 1.2times more current drive (ION) than the state-of-the-art 65-nm low-power CMOS technology at V DD = 0.5 V.  相似文献   

5.
Eighty-nanometer-gate In0.7Ga0.3As/InAs/In0.7Ga0.3As composite-channel high-electron mobility transistors (HEMTs), which are fabricated using platinum buried gate as the Schottky contact metal, were evaluated for RF and logic application. After gate sinking at 250degC for 3 min, the device exhibited a high gm value of 1590 mS/mm at Vd = 0.5 V, the current-gain cutoff frequency fT was increased from 390 to 494 GHz, and the gate-delay time was decreased from 0.83 to 0.78 ps at supply voltage of 0.6 V. This is the highest fT achieved for 80-nm-gate-length HEMT devices. These superior performances are attributed to the reduction of distance between gate and channel and the reduction of parasitic gate capacitances during the gate-sinking process. Moreover, such superior performances were achieved through a very simple and straightforward fabrication process with optimal epistructure of the device.  相似文献   

6.
In this letter, we report that by employing the La2O3/SiOx interfacial layer between HfLaO (La = 10%) high- and Si channel, the Ta2C metal-gated n-MOSFETs VT can be significantly reduced by ~350 mV to 0.2 V, satisfying the low-Vy device requirement. The resultant n-MOSFETs also exhibit an ultrathin equivalent oxide thickness (~1.18 nm) with a low gate leakage (JG = 10 mA/cm2 at 1.1 V), good drive performance (Ion = 900 muA/mum at Isoff = 70 nA/mum), and acceptable positive-bias-temperature-instability reliability.  相似文献   

7.
Metamorphic GaAs high electron mobility transistors (mHEMTs) with the highest-f max reported to date are presented here. The 35-nm zigzag T-gate In0.52Al0.48As/In0.53Ga0.47As metamorphic GaAs HEMTs show f maxof 520 GHz, f T of 440 GHz, and maximum transconductance (g m) of 1100 mS/mm at a drain current of 333 mA/mm. The combinations of f max and f T are the highest data yet reported for mHEMTs. These devices are promising candidates for aggressively scaled sub-35-nm T-gate mHEMTs.  相似文献   

8.
In this letter, we fabricated 30-nm-gate pseudomorphic In0.52 Al0.48As/In0.7Ga0.3As HEMTs with multilayer cap structures to reduce source and drain parasitic resistances; we measured their dc and radio-frequency characteristics at 300, 77, and 16 K under various bias conditions. The maximum cutoff frequency fT was 498 GHz at 300 K and 577 GHz at 77 K. The maximum fT exceeded 600 GHz at 16 K. Even at a drain-source voltage V ds of 0.4 V, we obtained an fT of 500 GHz at 16 K. This indicates that cryogenic HEMTs are favorable for low-voltage and high-speed operations. Furthermore, the present 30-nm-gate HEMTs at 300 K show almost the same fT values at the same dc-power dissipation as compared to 85-nm-gate InSb-channel HEMTs. The improvement of the maximum-oscillation-frequency f max values was also observed at 77 and 16 K.  相似文献   

9.
This letter reports that the effective work function (eWF) of Ni-Fully Silicided (Ni-FUSI) devices with HfSiON gate dielectrics can be modulated toward the silicon conduction band-edge by deposition of an ultra-thin Dy2O3 cap layer on the host dielectric. The obtained eWF depends on the deposited cap layer thickness and the Ni-FUSI phase, with 10 Aring Dy2O3 cap resulting in DeltaeWF ap 400 meV and final eWF ap 4.08 eV for NiSi-FUSI. Dielectric intermixing occurs without impacting the VT uniformity, gate leakage, mobility, and reliability. Well-behaved short-channel devices ( Lg ~ 100 nm, SS ~ 70 mV/dec, and DIBL ~ 65 mV/V) are demonstrated for both HfSiON and [HfSiON/Dy2O3 cap (5 Aring)] devices with NiSi-FUSI gates, corresponding to a similar . This capping approach, when combined with Ni-silicide FUSI phase engineering, allows (n-p) values up to 800 meV, making it promising for low- CMOS.  相似文献   

10.
High-performance inversion-type enhancement-mode n-channel In0.53Ga0.47As MOSFETs with atomic-layer-deposited (ALD) Al2O3 as gate dielectric are demonstrated. The ALD process on III-V compound semiconductors enables the formation of high-quality gate oxides and unpinning of Fermi level on compound semiconductors in general. A 0.5-mum gate-length MOSFET with an Al2O3 gate oxide thickness of 8 nm shows a gate leakage current less than 10-4 A/cm2 at 3-V gate bias, a threshold voltage of 0.25 V, a maximum drain current of 367 mA/mm, and a transconductance of 130 mS/mm at drain voltage of 2 V. The midgap interface trap density of regrown Al2O3 on In0.53Ga0.47As is ~1.4 x 1012/cm2 ldr eV which is determined by low-and high-frequency capacitance-voltage method. The peak effective mobility is ~1100 cm2 / V ldr s from dc measurement, ~2200 cm2/ V ldr s after interface trap correction, and with about a factor of two to three higher than Si universal mobility in the range of 0.5-1.0-MV/cm effective electric field.  相似文献   

11.
In this letter, high-performance low-temperature poly-Si p-channel thin-film transistor with metal-induced lateral- crystallization (MILC) channel layer and TaN/HfO2 gate stack is demonstrated for the first time. The devices of low threshold voltage VTH ~ 0.095 V, excellent subthreshold swing S.S. ~83 mV/dec, and high field-effect mobility muFE ~ 240 cm2/V ldr s are achieved without any defect passivation methods. These significant improvements are due to the MILC channel film and the very high gate-capacitance density provided by HfO2 gate dielectric with the effective oxide thickness of 5.12 nm.  相似文献   

12.
We have developed a novel AlGaN/GaN metal-oxide-semiconductor high-electron mobility transistor using a stack gate HfO2/Al2O3 structure grown by atomic layer deposition. The stack gate consists of a thin HfO2 (30-A) gate dielectric and a thin Al2O3 (20- A) interfacial passivation layer (IPL). For the 50-A stack gate, no measurable C-V hysteresis and a smaller threshold voltage shift were observed, indicating that a high-quality interface can be achieved using a Al2O3 IPL on an AlGaN substrate. Good surface passivation effects of the Al2O3 IPL have also been confirmed by pulsed gate measurements. Devices with 1- mum gate lengths exhibit a cutoff frequency (fT) of 12 GHz and a maximum frequency of oscillation (f MAX) of 34 GHz, as well as a maximum drain current of 800 mA/mm and a peak transconductance of 150 mS/mm, whereas the gate leakage current is at least six orders of magnitude lower than that of the reference high-electron mobility transistors at a positive gate bias.  相似文献   

13.
The fluorine ion implantation applied to the polycrystalline silicon thin-film transistors (poly-Si TFTs) with high-k Pr2O3 as gate dielectric is investigated for the first time. Using the Pr2O3 gate dielectric can obtain a high gate capacitance density and thin equivalent-oxide thickness, exhibiting a greatly enhancement in the driving capability of TFT device. Introducing fluorine ions into the poly-Si film by fluorine ion implantation technique can effectively passivate the trap states in the poly-Si film and at the Pr2O3/poly-Si interface to improve the device electrical properties. The Pr2O3 TFTs fabricated on fluorine-implanted poly-Si film exhibit significantly improved electrical performances, including lower threshold voltage, steeper subthreshold swing, higher field-effect mobility, lower off-state leakage current, and higher on/off current ratio, as compared with the control poly-Si Pr2O3 TFTs. Also, the incorporation of fluorine ions also improves the reliability of poly-Si Pr2O3 TFTs against hot-carrier stressing, which is attributed to the formation of stronger Si-F bonds. Furthermore, superior threshold-voltage rolloff characteristic is also demonstrated in the fluorine-implanted poly-Si Pr2O3 TFTs. Therefore, the proposed scheme is a promising technology for high-performance and high-reliability solid-phase crystallized poly-Si TFT.  相似文献   

14.
The electrical characteristics of germanium p-metal-oxide-semiconductor (p-MOS) capacitor and p-MOS field-effect transistor (FET) with a stack gate dielectric of HfO2/TaOxNy are investigated. Experimental results show that MOS devices exhibit much lower gate leakage current than MOS devices with only HfO2 as gate dielectric, good interface properties, good transistor characteristics, and about 1.7-fold hole-mobility enhancement as compared with conventional Si p-MOSFETs. These demonstrate that forming an ultrathin passivation layer of TaOxNy on germanium surface prior to deposition of high-k dielectrics can effectively suppress the growth of unstable GeOx, thus reducing interface states and increasing carrier mobility in the inversion channel of Ge-based transistors.  相似文献   

15.
This letter presents a record low flicker-noise spectral density in biaxial compressively strained p-channel 100-nm LgSi0.50Ge0.50 quantum-well FETs (QWFETs) with ultrathin Si (~2 nm) barrier layer and 1-nm EOT hafnium silicate gate dielectric. The normalized power spectral density of Id fluctuations (SId/Id 2) in Si0.50Ge0.50 QWFETs exhibits significant improvement by ten times over surface channel unstrained Si pMOSFETs at high Vg due to strong confinement of holes within the high-mobility QW and strong quantization in the ultrathin Si barrier layer enabled by low-thermal-budget device processing. The noise behavior in strained QW devices is found to evolve from being correlated mobility fluctuation dominated across most of Vg range to being Hooge mobility fluctuation dominated at very high Vg.  相似文献   

16.
P-n-p In0.52Al0.48As/In0.53Ga0.47 As double-heterojunction bipolar transistors with a p+-InAs emitter cap layer grown by molecular-beam epitaxy have been realized and tested. A five-period 15-Å-thick In0.53Ga0.47As/InAs superlattice was incorporated between the In0.53Ga0.47As and InAs cap layer to smooth out the valence-band discontinuity. Specific contact resistance of 1×10-5 and 2×10-6 Ω-cm2 were measured for nonalloyed emitter and base contacts, respectively. A maximum common emitter current gain of 70 has been measured for a 1500-Å-thick base transistor at a collector current density of 1.2×103 A/cm2. Typical current gains of devices with 50×50-μm2 emitter areas were around 50 with ideality factors of 1.4  相似文献   

17.
We report Ir/TiO2/TaN metal-insulator-metal capacitors processed at only 300degC, which show a capacitance density of 28 fF/mum2 and a leakage current of 3 times 10-8 (25degC) or 6 times 10-7 (125degC) A/cm2 at -1 V. This performance is due to the combined effects of 300degC nanocrystallized high-kappa TiO2, a high conduction band offset, and high work-function upper electrode. These devices show potential for integration in future very-large-scale-integration technologies.  相似文献   

18.
In this paper, the current transportation mechanism of HfO2 gate dielectrics with a TaN metal gate and silicon surface fluorine implantation is investigated. Based on the experimental results of the temperature dependence of gate leakage current and Fowler-Nordheim tunneling characteristics at 77 K, we have extracted the current transport mechanisms and energy band diagrams for TaN/HfO2/IL/Si structures with fluorine incorporation, respectively. In particular, we have obtained the following physical quantities: 1) fluorinated and as-deposited interfacial layer (IL)/Si barrier heights (or conduction band offsets) at 3.2 and 2.7 eV; 2) TaN/fluorinated and as-deposited HfO2 barrier heights at 2.6 and 1.9 eV; and 3) effective trapping levels at 1.25 eV (under both gate and substrate injections) below the HfOF conduction band and at 1.04 eV (under gate injection) and 1.11 eV (under substrate injection) below the HfO2 conduction band, which contributes to Frenkel-Poole conduction.  相似文献   

19.
New In0.52Al0.48As/In0.53Ga0.47 As transferred-substrate high electron mobility transistors (TS-HEMTs) have been successfully fabricated on 2-in Silicon substrate with 0.12 μm T-shaped gate length. These new TS-HEMTs exhibit typical drain currents of 450 mA/mm and extrinsic transconductance up to 770 mS/mm. An extrinsic current gain cutoff frequency fT of 185 GHz is obtained. That result is the first reported for In0.52Al0.48As/In0.53Ga0.47 As TS-HEMTs on Silicon substrate  相似文献   

20.
High-performance inversion-type enhancement- mode (E-mode) n-channel In0.65Ga0.35As MOSFETs with atomic-layer-deposited Al2O3 as gate dielectric are demonstrated. A 0.4-mum gate-length MOSFET with an Al2O3 gate oxide thickness of 10 nm shows a gate leakage current that is less than 5 times 10-6 A/cm2 at 4.0-V gate bias, a threshold voltage of 0.4 V, a maximum drain current of 1.05 A/mm, and a transconductance of 350 mS/mm at drain voltage of 2.0 V. The maximum drain current and transconductance scale linearly from 40 mum to 0.7 mum. The peak effective mobility is ~1550 cm2/V ldr s at 0.3 MV/cm and decreases to ~650 cm2/V ldr s at 0.9 MV/cm. The obtained maximum drain current and transconductance are all record-high values in 40 years of E-mode III-V MOSFET research.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号