首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
介绍基于FPGA可编程单元设计的一种占空比在整数范围内可调、分频比最小单位为0.1的可控分频器的设计,并对该分频器的精度进行了分析,提出了一种能大幅度提高小数分频精度的改进型双模小数分频法——整数/半整数转换双模小数分频法。设计在通过仿真、综合、后仿真后,在Xilinx FPGA上实现。  相似文献   

2.
分频器是电子设备和电子系统中常用的一种部件,目前有许多TTL、ECL、CMOS等集成电路分频器可供不同要求的使用者选择。MC12022是MOTORO-LA公司生产的双模前置分频器,具有较高的分频比和较宽的工作频带,输入信号最高频率可达卫l.1GHz。 1.MC12022的原理 MC12022是一种用于PLL中的MECL双模前置分频器,有MC12022A/B两种型号,其分频比为64/65  相似文献   

3.
介绍了一种基于FPGA的小数分频器的分频原理及电路设计,并用VHDL进行编程实现,并对这种小数分频器的抖动进行分析和计算.  相似文献   

4.
一种可控分频比分频器的设计与研究   总被引:1,自引:0,他引:1  
提出了一种具有小数分频比的数字分频设计原理,给出了这种分频器的电路结构和数学模型,对它的抖动性能进行分析,在分频比的纯小数部分的值接近0.75和0.25的情况下,给出了可控分频比分频器电路的改进方法。  相似文献   

5.
在锁相环频率合成器中,双模前置分频器是一个速度瓶颈。分析了双模前置分频器的工作原理,提出了提高其工作速度的方法,包括给出一种新型高速CMOS动态D触发器的设计以及同步分频器的改进。经Cadence Spectre仿真,在0.8umCMOS工艺,电源电压为5V的条件下,最高频率达到了2.0GHZ,其速度和集成度远远超过静态CMOS电路。  相似文献   

6.
分析了应用于倍频电路的吞脉冲分频器的工作原理,建立了基于Simulink和FPGA的分频器模型.实验结果表明,该分频器可以实现双模分频功能,并能大幅度降低数字电路的功耗,为开发实用倍频电路提供了可行途径.  相似文献   

7.
黄国达 《福建电脑》2013,29(7):132-134
基于fpga设计了一个分频器,通过修改程序中的分频系数N,可以实现一定范围内的任意整数分频,且占空比保持为50%。除了任意整数分频模块外,整个系统还包括了频率测量模块和数码管动态显示模块。  相似文献   

8.
一种基于FPGA的分频方法研究   总被引:1,自引:0,他引:1  
本文通过对不同的Verilog HDL语言程序语句进行比较分析和仿真综合,应用参数化的程序设计方法,在大规模可编程逻辑器件上,实现了一种软件化的分频方法。该方法对于在FPGA上设计其他类型的分频器,如非等占空比及半整数分频器,以提高FPGA的利用率具有很好的指导作用,同时也为系统设计人员进行电路的分频设计提供了一种思路。  相似文献   

9.
随着电子技术的发展,工作频率成为电子产品优劣的一个重要依据,这使得我们对晶振的要求越来越高。如果我们仅通过分频,对较高的晶振源进行分频就能很容易的得到比较丰富的频率。分频器是数字系统设计中的一种基本电路,本文介绍了通过QuartusII开发平台,利用Verilog硬件描述语言设计了一种能够实现等占空比的任意偶数分频、等占空比任意奇数分频、不等占空比的任意半整数分频的较为通用的分频器,并通过QuartusII进行了功能仿真。  相似文献   

10.
基于FPGA的一种改进型小数分频法   总被引:1,自引:0,他引:1  
马洪亮  陈宗义 《软件》2012,(1):34-35,38
本文在分析和比较现有小数分频方法的基础上,提出了一种改进型的累加器小数分频法,以实现更高精度的小数分频。最后利用VHDL语言在ACTIVE-HDL仿真软件下进行了仿真,仿真结果显示:clk_out与clk1023频率基本一致;每个clk_out时钟周期有48或49个clk_in时钟,达到了分频的目的。  相似文献   

11.
本文介绍了多信道无绳电话系统中的锁相频率合成器的硬、软件设计与实现,并介绍了集成锁相频率合成器MC145156和高速双模前置分频器MC12017。  相似文献   

12.
MB1504集成锁相频率合成器   总被引:1,自引:1,他引:0  
介绍一种片内带有520MHz高速双模前置分频器的集成销相频率合成器芯片MB1504系列的应用方法和构成频率合成器电路的设计原理.  相似文献   

13.
本文首先介绍了Σ-Δ调制技术的基本原理,分析了一阶及高阶Σ-Δ调制器,最后结合一阶Σ-Δ调制器,给出了在FPGA器件上实现Σ-Δ调制器的设计。仿真结果表明,设计实现了Σ-Δ调制器,通过控制分频器实现了小数分频,方法简单易行。与运用Matlab软件仿真的结果完全一致,并进一步证实了高阶数字Σ-Δ调制对量化相位噪声的高通整形特性,从而有效地解决了小数分频频率合成器中的小数杂散问题,具有很高的实用性。  相似文献   

14.
OFDM系统改进的训练序列结构及时频同步算法   总被引:1,自引:0,他引:1  
提出了一种改进的基于Zadoff-Chu(ZC)序列的正交频分复用(Orthogonal Frequency Division Multiplexing,OFDM)系统时频同步算法。该算法构造了一个具有共轭重复关系结构的训练序列,首先利用时域训练序列前后的共轭特性完成定时同步,同时得到整数倍频偏估计,使定时结果不受频率同步性能影响,然后在获取精确的定时同步之后利用训练序列的重复性完成小数频偏估计。理论分析和仿真结果表明,在高斯信道和多径信道下,改进算法的定时估计和频偏估计均方误差较低,同时扩大了整数和小数频偏估计范围,降低了系统计算复杂度。  相似文献   

15.
本设计是以三分频器为核心,基于整个音箱系统,根据其目的及要求,利用三分频器实现高音、中音、低音的分频。根据分频点和分频参数制作分频器,用扬声器来接收分频器送来的音频信号并在相应的单元重放。用箱体固定扬声器和分频电路板,改善音质性能。  相似文献   

16.
针对无人机遥测接收机本地振荡器多频点、低相噪的指标要求,分析了整数分频、传统小数分频等锁相频率合成器原理和存在的问题,介绍了多级delta-sigma调制器合成技术的原理及其在小数分频的锁相频率合成器中的应用,给出了其数学模型和杂散功率谱密度的表达式;完成了基于delta-sigma调制的锁相频率合成器的设计与实现,通过验证实验并与文献[1]比较表明,文中设计的频率合成器具有输出的信号低相噪、低杂散和频率分辨率高的特点,完全满足接收机的指标要求,并能推广应用于其它领域的无线电测控系统。  相似文献   

17.
小数分频技术能够有效地解决小数分频比与频率分辨率之间的矛盾,只需改变某位小数,就可以在不降低参考频率的情况下提高频率分辨率.但小数分频存在小数杂波的问题,利用delta-sigma小数分频技术,既能够保证输出信号的高频率分辨率,又能够抑制小数分频产生的杂波,提高频谱纯度.  相似文献   

18.
本文进行了基于小数分频技术的频率合成器的研究与设计.首先分析小教分频锁相的工作原理,随后设定了设计指标,进行控制部分设计、环路滤波器设计、压控振荡器的设计,从而实现基于小数分频技术的频率合成器.并通过测试,其性能指标已达到设计要求.  相似文献   

19.
用一片LaticeispLSI器件设计了一种包含整数分频和小数分频的快速数字频率合成器,该数字频率合成器是实现多频移频键控的核心技术。详细介绍了其工作原理、设计思路和is-pLSI可编程逻辑器件的应用技术。  相似文献   

20.
基于查找表LUT的原理,提出了奇数与小数分频电路的设计原理,并采用Verilog进行了奇数与小数分频电路的描述,使用Synopsys公司的Design Complier和Astro进行了综合与布局布线,得到了所设计奇数与小数分频电路的版图,然后仿真证明了设计方法的正确性.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号