首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 109 毫秒
1.
This paper presents a study of the impact of gate-oxide N2 O anneal on CMOSFET's characteristics, device reliability and inverter speed at 300 K and 85 K. Two oxide thicknesses (60 and 110 Å) and five N2O anneal conditions (900~950°C, 5~40 min) plus nonnitrided process and channel lengths from 0.2 to 2 μm were studied to establish the correlation between the nitrogen concentration at Si/SiO2 interface and the relative merits of the resultant devices. We concluded that one simple post-oxidation N2O anneal step can increase CMOSFET's lifetime by 4~10 times, effectively suppress boron penetration from the P+ poly-Si gate of P-MOSFET's without sacrificing CMOS inverter speed. We also found that the benefits in terms of the improved interface hardness and charge trapping characteristic still exist at cryogenic temperature. All these improvements are found to be closely correlated to the nitrogen concentration incorporated at the Si/SiO2 interface. The optimal N2O anneal occurs somewhere at around 2% of nitrogen incorporation at Si/SiO2 interface which can be realized by annealing 60~110 Å oxides at 950°C for 5 min or 900°C for 20 min  相似文献   

2.
This work proposes a stacked-amorphous-silicon (SAS) film as the gate structure of the p+ poly-Si gate pMOSFET to suppress boron penetration into the thin gate oxide. Due to the stacked structure, a large amount of boron and fluorine piled up at the stacked-Si layer boundaries and at the poly-Si/SiO2 interface during the annealing process, thus the penetration of boron and fluorine into the thin gate oxide is greatly reduced. Although the grain size of the SAS film is smaller than that of the as deposited polysilicon (ADP) film, the boron penetration can be suppressed even when the annealing temperature is higher than 950°C. In addition, the mobile ion contamination can be significantly reduced by using this SAS gate structure. This results in the SAS gate capacitor having a smaller flat-band voltage shift, a less charge trapping and interface state generation rate, and a larger charge-to-breakdown than the ADP gate capacitor. Also the Si/SiO2 interface of the p+ SAS gate capacitor is much smoother than that of the p+ SAS gate capacitor  相似文献   

3.
Nitrogen implantation on the silicon substrate was performed before the gate oxidation at a fixed energy of 30 keV and with the split dose of 1.0×1014/cm2 and 2.0×1014 /cm2. Initial O2 injection method was applied for gate oxidation. The method is composed of an O2 injection/N2 anneal/main oxidation, and the control process is composed of a N2 anneal/main oxidation. CMOS transistors with gate oxide thickness of 2 nm and channel length of 0.13 μm have been fabricated by use of the method. Compared to the control process, the initial O2 injection process increases the amount of nitrogen piled up at the Si/SiO2 interface and suppresses the growth of gate oxide effectively. Using this method, the oxidation retarding effect of nitrogen was enhanced. Driving currents, hot carrier reliability, and time-zero dielectric breakdown (TZDB) characteristics were improved  相似文献   

4.
We have investigated RIE-induced damage in MOS devices with thermal oxide as well as N2O-annealed oxide as gate dielectrics. A systematic improvement in robustness against RIE-induced damage is seen when N2O flow rate and/or N2O anneal temperature are increased. We have demonstrated a N2O anneal process at 900°C, which provides a robust SiO2/Si interface against plasma damage and hot carrier stress  相似文献   

5.
The authors report that the boron penetration through the thin gate oxide into the Si substrate does not only cause a large threshold voltage shift but also induces a large degradation in the Si/SiO2 interface. An atomically flat Si/SiO2 interface can be easily obtained by using a stacked-amorphous-silicon (SAS) film as the gate structure for p+ poly-Si gate MOS devices even with the annealing temperature as high as 1000°C  相似文献   

6.
Charge trapping and interface-state generation in very thin nitride/oxide (4-nm Si3N4+8-nm SiO2) composite gate insulators are studied as a function of gate electrode work function and bottom oxide thickness. The behavior of the trapped positive charge under bias-temperature stress after avalanche electron injection (AEI) is investigated. Evidence is presented that secondary hole injection from the anode (gate/Si3N4 interface) and subsequent trapping near the SiO2-Si interface result in a turnaround of the flatband voltage shift during AEI from the substrate. Just like the thermal oxides on Si, slow-state generation near the SiO2-Si interface and boron acceptor passivation in the surface-space charge layer of the Si substrate are also observed after AEI in these nitride/oxide capacitors, and they are found to be strongly related to the secondary hole injection and trapping. Finally, interface-state generation can take place with little secondary anode hole injection and is enhanced by the occurrence of hole trapping  相似文献   

7.
The circuit performance of CMOS technologies with silicon dioxide (SiO2) and reoxidized nitrided oxide (RONO) gate dielectrics over the normal regime of digital circuit operation, i.e. VGS⩽5 V and BDS⩽5 V, is discussed. The simulation of a simple CMOS inverter has shown that the SiO2 inverter consistently outperforms the RONO inverter over temperatures ranging from 300 to 100 K. This can be attributed mainly to the significantly lower μp (hole mobility) of RONO p-channel devices. At 300 K, μp(RONO) is 14-8% smaller than μp(SiO2) over the entire range of gate biases, while μn(RONO) (electron mobility of n-channel RONO devices) is also smaller than μn(SiO2) and reaches only 96% of μn(SiO2) at VGS=5 V. At 100 K, μn(RONO)/μn (SiO2) at VGS=5 V is increased to 1.10, however, μp(RONO)/μp(SiO2) at VGS=5 V is degraded to 0.59. The dependence of circuit performance on the supply voltage has also been evaluated for the RONO and SiO2 inverters  相似文献   

8.
A remote plasma chemical vapor deposition (RPCVD) of SiO2 was investigated for forming an interface of SiO2/Si at a low temperature below 300°C. A good SiO2/Si interface was formed on Si substrates through decomposition and reaction of SiH4 gas with oxygen radical by confining plasma using mesh plates. The density of interface traps (Dit) was as low as 3.4×1010 cm-2eV-1. N- and p-channel Al-gate poly-Si TFTs were fabricated at 270°C with SiO2 films as a gate oxide formed by RPCVD and laser crystallized poly-crystalline films formed by a pulsed XeCl excimer laser. They showed good characteristics of a low threshold voltage of 1.5 V (n-channel) and -1.5 V (p-channel), and a high carrier mobility of 400 cm2/Vs  相似文献   

9.
The high-frequency AC characteristics of 1.5-nm direct-tunneling gate SiO2 CMOS are described. Very high cutoff frequencies of 170 GHz and 235 GHz were obtained for 0.08-μm and 0.06-μm gate length nMOSFETs at room temperature. Cutoff frequency of 65 GHz was obtained for 0.15-μm gate length pMOSFETs using 1.5-nm gate SiO2 for the first time. The normal oscillations of the 1.5-nm gate SiO2 CMOS ring oscillators were also confirmed. In addition, this paper investigates the cutoff frequency and propagation delay time in recent small-geometry CMOS and discusses the effect of gate oxide thinning. The importance of reducing the gate oxide thickness in the direct-tunneling regime is discussed for sub-0.1-μm gate length CMOS in terms of high-frequency, high-speed operation  相似文献   

10.
Temperature-dependent Sellmeier coefficients are necessary to optimize optical design parameters of the optical fiber transmission system. These coefficients are calculated for fused silica (SiO2 ), aluminosilicate, and Vycor glasses for the first time to find the temperature dependence of chromatic dispersion at any wavelength from UV to 1.7 μm. The zero dispersion wavelength λ0 (1.273 μm for SiO2, 1.393 μm for aluminosilicate, and 1.265 μm for Vycor glasses at 26°C) varies linearly with temperature, and dλ0/dT is 0.03 nm/K for aluminosilicate and Vycor glasses, whereas for SiO2 it is 0.025 nm/K. This study interprets the recently observed experimental value of dλ0/dT for two dispersion shifted optical fibers; and the dominantly material origin of dλ0/dT is confirmed here as a fundamental property of the optical fiber glasses  相似文献   

11.
The generation of interface traps by different stresses to 4-nm thick SiO2 gate oxide is studied. Four different kinds of constant current stresses were applied. The interface-trap density (D it) generation due to hot holes under VG<0 Fowler-Nordheim (FN) stress was characterized using quantum-yield measurement and substrate-hot-hole (SHH) stress. The interface-trap density (Dit) generated by SHH stress increases as gate-oxide field increases. Substrate-hot-electron (SHE) stress generates much less interface-trap density (Dit) than SHH stress. It is also observed that N2O-grown gate-oxide has smaller hole-injection probability but larger electron-injection probability than O2-grown oxide. N2O-grown gate oxide is shown to have less SHH stress-induced interface traps than O2-grown oxide in p-channel metal-oxide-semiconductor field-effect transistor (MOSFET) devices  相似文献   

12.
The influence of temperature and optical effects on ISFET performance are important. In this study, the temperature characteristics of the SnO2/Si3N4/SiO 2/Si ISFET are investigated by the zero temperature coefficient (T.C.) adjustment and the dual FET's configuration, respectively. The result show that a zero T.C. of the SnO2 gate ISFET can be achieved when the appropriate operation current was set. Subsequently, the T.C. of tin oxide membrane/electrolyte interface can be evaluated by the dual FETs configuration. On the other hand, due to the SnO2 gate ISFET is sensitive to the light exposure, thus in order to improve this drawback, a multi-structure ISFETs: SnO 2/Al/SiO2/Si3N4/Si ISFETs have been developed. In this structure, aluminum is used as a light shield, and the tin oxide is used as a pH sensitive layer. The results show the ISFETs with aluminum as a light shield have low light sensitivity compared with ISFETs without aluminum as a light shield  相似文献   

13.
The electrical characteristics of a novel HfTaON/SiO2 gate stack, which consists of a HfTaON film with a dielectric constant of 23 and a 10-Aring SiO2 interfacial layer, have been investigated for advanced CMOS applications. The HfTaON/SiO2 gate stack provided much lower gate leakage current against SiO2 , good interface properties, excellent transistor characteristics, and superior carrier mobility. Compared to HfON/SiO2, improved thermal stability was also observed in the HfTaON/SiO2 gate stack. Moreover, charge-trapping-induced threshold voltage V th instability was examined for the HfTaON/SiO2 and HfON/SiO2 gate stacks. The HfTaON/SiO2 gate stack exhibited significant suppression of the Vth instability compared to the HfON/SiO2, in particular, for nMOSFETs. The excellent performances observed in the HfTaON/SiO2 gate stack indicate that it has the potential to replace conventional SiO2 or SiON as gate dielectric for advanced CMOS applications  相似文献   

14.
The use of aluminum oxide as the gate insulator for low temperature (600°C) polycrystalline SiGe thin-film transistors (TFTs) has been studied. The aluminum oxide was sputtered from a pure aluminum target using a reactive N2O plasma. The composition of the deposited aluminum oxide was found to be almost stoichiometric (i.e., Al2O3), with a very small fraction of nitrogen incorporation. Even without any hydrogen passivation, good TFT performance was measured an devices with 50-nm-thick Al2O3 gate dielectric layers. Typically, a field effect mobility of 47 cm2/Vs, a threshold voltage of 3 V, a subthreshold slope of 0.44 V/decade, and an on/off ratio above 3×105 at a drain voltage of 0.1 V can be obtained. These results indicate that the direct interface between the Al2 O3 and the SiGe channel layer is sufficiently passivated to make Al2O3 a better alternative to grown or deposited SiO2 for SiGe field effect devices  相似文献   

15.
Time-dependent dielectric breakdown (TDDB) characteristics of MOS capacitors with thin (120-Å) N2O gate oxide under dynamic unipolar and bipolar stress have been studied and compared to those with control thermal gate oxide of identical thickness. Results show that N2O oxide has significant improvement in t BD (2×under-Vg unipolar stress, 20×under+Vg unipolar stress, and 10×under bipolar stress). The improvement of tBD in N2O oxide is attributed to the suppressed electron trapping and enhanced hole detrapping due to the nitrogen incorporation at the SiO2/Si interface  相似文献   

16.
In this work, we demonstrate that for ultrathin MOS gate oxides, the reliability is closely related to the SiO2/Si interfacial physical stress for constant current gate injection (Vg- ) in the Fowler-Nordheim tunneling regime. A physical stress-enhanced bond-breaking model is proposed to explain this. The oxide breakdown mechanism is very closely related to the Si-Si bond formation from the breakage of Si-O-Si bond, and that is influenced by the physical stress in the film. The interfacial stress is generated due to the volume expansion from Si to SiO2 during the thermal oxidation, and it is a strong function of growth conditions, such as temperature, growth rate, and growth ambient. Higher temperatures, lower oxidation rates, and higher steam concentrations allow faster stress relaxation through viscous flow. Reduced disorder at the interface results in better reliability. Fourier transform infrared spectroscopy (FTIR) technique has been used to characterize stress in thin oxide films grown by both furnace and rapid thermal process (RTP). In conjunction with the Gibbs free energy theory, this model successfully predicts the trends of time-to-breakdown (tbd) as a function of oxide thickness and growth conditions. The trends of predicted tbd values agree well with the experimental data from the electrical measurement  相似文献   

17.
An ultra-thin, high reliability oxide-nitride-oxide (ONO) gate dielectric was formed using low pressure oxidation and chemical vapor deposition. A sub-0.25 μm device with high performance was fabricated for which the gate dielectric reliability was studied using both Fowler-Nordheim tunneling stress and hot carrier aging. The results from both techniques demonstrate that the device lifetime is longer than 100 years. Auger spectroscopy shows that there is about 9 at.% nitrogen at the SiO2/Si interface. However, no transconductance degradation is observed  相似文献   

18.
Polysilicon thin-film transistors (poly-Si TFT's) with liquid phase deposition (LPD) silicon dioxide (SiO2) gate insulator were realized by low-temperature processes (<620°C). The physical, chemical, and electrical properties of the new dielectric layer were clarified. The low-temperature processed (LTP) poly-Si TFT's with W/L=200 μm/10 μm had an on-off current ratio of 4.95×10 6 at VD=5 V, a field effect mobility of 25.5 cm 2/V·s at VD=0.1 V, a threshold voltage of 6.9 V, and a subthreshold swing of 1.28 V/decade at VD=0.1 V. Effective passivation of defects by plasma hydrogenation can improve the characteristics of the devices. The off-state current (IL) mechanisms of the LTP poly-Si TFT's were systematically compared and clarified. The IL is divided into three regions; the IL is attributable to a resistive current in region I (low gate bias), to pure thermal generation current in region II (low drain bias), and to Frenkel-Poole emission current in region III (high gate bias and drain bias)  相似文献   

19.
We report on a SiO2/Si3N4/SiO2 (ONO) gate insulator stack deposited on GaN by jet vapor deposition (JVD) technique. Capacitors fabricated using the JVD-ONO on GaN are characterized from room temperature to 450°C using capacitance-voltage (C-V), current-voltage (I-V), AC conductance, and constant-current stress measurements. We find excellent operating characteristics over the measured range, most notably: (1) very low leakage current, (2) extremely high hard-breakdown strength, (3) low interface-trap density, and (4) low net dielectric-charge density. Moreover these performance figures remain well within acceptable limits even for operating temperatures as high as 150°C. In addition, we measure both the capture cross-section of the interface traps and the surface-potential fluctuation at the GaN/ONO interface. All results suggest that JVD-ONO is an excellent choice for a gate dielectric in GaN-based MISFETs  相似文献   

20.
By optimizing the inductively coupled plasma (ICP) oxidation condition, a thin oxide of 10 nm has been grown at 350°C to achieve excellent gate oxide integrity of low leakage current<5×10-8 A/cm2 (at 8 MV/cm), high breakdown field of 9.3 MV/cm and low interface trap density of 1.5×1011 /eV cm2. The superior performance poly-Si TFTs using such a thin ICP oxide were attained to achieve a high ON current of 110 μA/μm at VD=1 V and VG=5 V and the high electron field effect mobility of 231 cm2/V·S  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号