首页 | 官方网站   微博 | 高级检索  
     


Spacer defined FinFET: Active area patterning of sub-20 nm fins with high density
Authors:B Degroote  T Vandeweyer  N Collaert  W Boullart  E Kunnen  D Shamiryan  J Wouters  J Van Puymbroeck  A Dixit  M Jurczak
Affiliation:IMEC vzw, SPDT, Kapeldreef 75, B-3001 Leuven, Belgium
Abstract:We present a method to obtain Si-fins with a critical dimension (CD) below 20 nm, separated by a minimum distance of 25 nm and connected by a common source/drain (S/D) pad. The method comprises of recursive spacer defined patterning to quadruple the line density of a 350 nm pitch resist pattern defined by 193 nm lithography. Spacer defined patterning is combined with resist based patterning to simultaneously define fins and S/D pads in a Silicon on Insulator (SOI) film. CD and Line Width Roughness (LWR) analysis was done on top down SEM images taken in a center die and in an edge die of a 200 mm wafer. The average CD is 17 nm in the center of the wafer and 18 nm at the edge. The LWR is 3 nm for both center and edge. Additional process steps to remove etch damage and round the top corner of the fin (i.e. oxidation followed by H2 anneal) further reduce the CD to 13 nm.
Keywords:Fin  MuGFET  Spacer defined patterning  Critical dimension (CD)  Line width roughness (LWR)  Sub-20   nm pattern
本文献已被 ScienceDirect 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号