首页 | 官方网站   微博 | 高级检索  
     

基于FPGA的LCD测试用信号发生器设计
引用本文:杨明,廖根兴,王连明. 基于FPGA的LCD测试用信号发生器设计[J]. 现代电子技术, 2012, 35(11): 139-141
作者姓名:杨明  廖根兴  王连明
作者单位:1. 吉林省广播电视技术中心台,吉林长春,130021
2. 中国计量学院,浙江杭州,310018
3. 东北师范大学应用电子技术研究所,吉林长春,130024
摘    要:在检测液晶屏特性和质量时,需要控制液晶屏显示一些标准信号。已有的一些信号产生设备产生的是AV信号、VGA信号或YPbPr信号等模拟制式的信号。模拟制式的信号需要经过图形处理器(GPU)转换成数字LVDS信号,然后输入到液晶屏的扫描控制电路产生相应图像。这个过程不可避免的会使图像信号产生一定程度的失真与损耗,影响图像质量。旨在设计一种新型信号发生器,该发生器产生的数字图像信号转换成数字LVDS信号后,直接输入液晶屏,以避免信号传输过程产生的失真与损耗。

关 键 词:液晶显示  信号发生器  FPGA  LVDS

Design of FPGA-based signal generator for LCD testing
YANG ming , LIAO Gen-xing , WANG Lian-ming. Design of FPGA-based signal generator for LCD testing[J]. Modern Electronic Technique, 2012, 35(11): 139-141
Authors:YANG ming    LIAO Gen-xing    WANG Lian-ming
Affiliation:1.Central Station of Jilin Broadcasting and Television Technology,Changchun 130021,China; 2.China Jiliang University,Hangzhou,310018,China; 3.Institute of Applied Electronics,Northeast Normal University,Changchun 130024,China)
Abstract:LCD screens is asked for displaying some standard signals when the characteristics and qualities are being checked.Since the signals generated by some available facilities are analog signals such as AV signal,VGA signal or YPbPr signal,they are not suitable for testing the digital LCD screens.Those analog signals must be transformed to digital LVDS format by graphic processing unit(GPU),before they are sent into LCD screen scanning circuit to display some standard graphs.Distortion and attenuation are inevitable during this process,which will influence the quality of those standard graphs.Therefore,a new type of signal generator that can directly generate digital standard graph signals was designed.These signals can be sent into LCD screen directly via LVDS port after they are transformed to LVDS signal.The distortion and attenuation of signals can be avoided by this means.
Keywords:LCD display  signal generator  FPGA  LVDS
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号