首页 | 官方网站   微博 | 高级检索  
     

一种2FSK解调器的VHDL实现
引用本文:张新伟,郑建宏.一种2FSK解调器的VHDL实现[J].通信技术,2007,40(11):78-80.
作者姓名:张新伟  郑建宏
作者单位:重庆邮电大学,重庆,400065
摘    要:文中首先介绍调制、解调的原理,以及FSK信号的过零检测法,并对MAXPLUS2软件进行简单介绍,然后重点介绍FSK解调器的实现方法,最后通过MAXPLUS2对代码进行仿真,并实现对FSK信号的解调。

关 键 词:调制  解调  MAXPLUS2  VHDL
文章编号:1002-0802(2007)11-0078-03
收稿时间:2007-06-06
修稿时间:2007年6月6日

A VHDL Implementation of 2FSK
ZHANG Xin-wei,ZHENG Jian-hong.A VHDL Implementation of 2FSK[J].Communications Technology,2007,40(11):78-80.
Authors:ZHANG Xin-wei  ZHENG Jian-hong
Abstract:This paper first describes the principles of modulation and demodulation, including zero-crossing detection of FSK signal, and then gives a brief introduction of MAXPLUS2 software. It focuses on implementation of FSK demodulator. Finally simulation on the code realizes the demodulation of FSK signal through MAX PLUS2.
Keywords:modulation  demodulation  MAXPLUS2  VHDL
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号