首页 | 官方网站   微博 | 高级检索  
     

QDR SRAM控制器的设计与FPGA实现
引用本文:刘耀,梅大成,于珍珠.QDR SRAM控制器的设计与FPGA实现[J].现代电子技术,2007,30(2):11-13.
作者姓名:刘耀  梅大成  于珍珠
作者单位:西南石油大学,四川,成都,610500
摘    要:介绍一种新型静态存储器——QDR(Quad Data Rate)SRAM的存储器结构、与系统的接口连接、主要的操作时序。参考实际QDR存储器内部组成。利用FPGA实现存储器控制器的设计实现。旨在通过FPGA的快速、灵活、容易修改的特点,设计并实现在高速数据通信系统中,QDR静态存储器用于处理器和接口连接的外设之间的数据交换。着重分析QDR控制器的读/写操作状态机。

关 键 词:QDR  SRAM  存储  FPGA  状态机
文章编号:1004-373X(2007)02-011-02
修稿时间:2005年8月15日

Design of QDR SRAM Controller and Realization of FPGA
LIU Yao,MEI Dacheng,YU Zhenzhu.Design of QDR SRAM Controller and Realization of FPGA[J].Modern Electronic Technique,2007,30(2):11-13.
Authors:LIU Yao  MEI Dacheng  YU Zhenzhu
Affiliation:LIU Yao, MEI Daeheng, YU Zhenzhu (Southwest Petroleum University,Chengdu,610500,China)
Abstract:This article introduces the memory architecture and timing operation of a new architectures static memorynamed QDR (Quad Data Rate)SRAM. With the internal architecture of a QDR and FPGA, the design of QDR controller is achieved. The purpose of the article is designing and carrying out the QDR using FPGA which is a high speed and easy modifying. The QDR links the processor and interface which are both in the high speed data communication system. The article also introduces the state machine of QDR controller's read & writes operations.
Keywords:QDR SRAM  FPGA
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号