首页 | 官方网站   微博 | 高级检索  
     

基于VHDL的2FSK调制解调器设计
引用本文:刘家庆. 基于VHDL的2FSK调制解调器设计[J]. 电子技术, 2010, 37(11): 73-75
作者姓名:刘家庆
作者单位:连云港市无线电监测站
摘    要:在数字通信系统中,数字调制与解调技术占有非常重要的地位。文中介绍了FSK调制解调的基本原理,用VHDL语言实现了2FSK调制解调器的设计,整个系统设计在MAX+plusII开发平台上进行编译仿真,最后在EPM7032LC44-15目标芯片上实现。仿真结果表明此设计方案是可行的,系统具有较高的实用性和可靠性。

关 键 词:调制  解调  频移键控  超高速集成电路硬件描述语言

Design of 2FSK Modem Based on VHDL
Liu Jiaqing. Design of 2FSK Modem Based on VHDL[J]. Electronic Technology, 2010, 37(11): 73-75
Authors:Liu Jiaqing
Affiliation:Liu Jiaqing(Lianyungang City Radio Supervision Station)
Abstract:在数字通信系统中,数字调制与解调技术占有非常重要的地位。文中介绍了FSK调制解调的基本原理,用VHDL语言实现了2FSK调制解调器的设计,整个系统设计在MAX+plusII开发平台上进行编译仿真,最后在EPM7032LC44-15目标芯片上实现。仿真结果表明此设计方案是可行的,系统具有较高的实用性和可靠性。
Keywords:modulation  demodulation  Frequency-Shift Keying  VHDL
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号