首页 | 官方网站   微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   5篇
  免费   0篇
工业技术   5篇
  2018年   1篇
  2014年   2篇
  2007年   1篇
  2004年   1篇
排序方式: 共有5条查询结果,搜索用时 0 毫秒
1
1.
GPIB接口的FPGA实现   总被引:1,自引:0,他引:1  
GPIB接口是测试仪器中常用的接口方式。通过将接口设计分解为同步状态机设计和寄存器读写电路设计,采用Verilog语言实现了满足IEEE488.1协议的IPCore设计。将此IPCore固化到FPGA芯片中即可实现GPIB各种接口功能。  相似文献   
2.
毕业设计作为大学阶段的综合性实践测评,是每一位大学生对大学的一个总结。然而由于基础知识不够扎实、实践操作能力缺乏等各种原因,大学生毕业设计入手难的问题普遍存在。针对这种情况,搭建了一种基于FPGA的毕业设计实践平台。实践平台以PC机和FPGA为基础构成,其中FPGA选用Altera公司的cycloneⅣ系列EP4CE6E22C8。平台设置了常用外设并预留大量外设端口,便于设计者根据具体需求自由扩展功能。本文通过毕业设计数字时钟的设计为实例,展示了毕业设计在毕业设计实践平台上的实现,以期解决毕业设计入手难的困境,帮助他们更好地完成毕业设计工作。  相似文献   
3.
目前,我国城市道路照明建设中存在高投入、高耗能的现象,所以从技术着手降低能耗、改善照明,是城市管理者的重要举措。从LED技术、产品入手,结合远程无线智能控制系统技术阐述城市公共照明节能方案,改变简单的用电、管电模式可使城市公共照明变得更加人性化、更加智慧。  相似文献   
4.
王术群 《今日电子》2007,(11):101-102
目前在很多地方的电信增值业务中,都包含了"语音邮箱"功能.在一些新型的终端设备(如一键通电话)上,已经集成了此功能,但大多数较早的电话机并不具备此功能,因此有客户要求开发一种简单的电话附加装置,以配合这些电话实现语音邮箱指示功能.  相似文献   
5.
讨论了一种基于FPGA方案高速数据发生器的GPIB接口的功能测试方法,通过带ISA口的计算机、GPIB接口卡和若干台GPIB仪器组成GPIB测试系统,利用数字示波器、母线分析仪等仪器,进行听/讲功能测试、传输速率测试、地址测试、串查功能测试。测试结果证明该基于FPGA方案高速数据发生器的GPIB接口各项指标符合国标标准。该方法可靠易行,对仪器开发者有一定借鉴价值。  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号