首页 | 官方网站   微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   8篇
  免费   0篇
工业技术   8篇
  2006年   1篇
  2005年   1篇
  2004年   3篇
  2003年   2篇
  1994年   1篇
排序方式: 共有8条查询结果,搜索用时 0 毫秒
1
1.
The patterning of contact holes by selecting out-of-focus image plane (defocus) using attenuated phase shift masks (APSM) has been studied. Defocus is found to enhance the image modulation at low partial coherence for contact holes with negative local average of mask function. Semi-dense holes up to 130 nm in 8% APSM have been printed by 0.5 μm defocus at a partial coherence of 0.31 using KrF scanner with highest numerical aperture of 0.68. However, these holes were closed with in-focus imaging. Defocus is also found to be beneficial for patterning the pitches that have extensive side lobes with in-focus imaging.  相似文献   
2.
Reactive ion etch (RIE) of p-SiLK, a spin-on polymer based ultra low-k (ULK) material with a k value of /spl sim/2.2 was characterized and its influence on electrical yield and dielectric breakdown is presented here. Material characterization was done using blanket films after curing and the effect of exposure to different conventional plasma etch gas mixtures was studied for surface composition, roughness and dielectric constant. Trench etch process was developed for 130-nm technology node for single damascene process integration. Dual hard mask approach was taken and two etch schemes viz., etching under hardmask and etching under photoresist were evaluated. In both schemes, trench etch profiles were near vertical and critical dimension (CD) control was within 10%. RIE lag and the carbon depletion at the sidewalls were found to be insignificant confirming acceptable etch process performance. Etching under photoresist scheme was found advantageous in terms of trench profile for isolated structures, reduced cycle time making the process cost effective and reduced post-CMP defects. However, from the comparison of electrical test results, etch under hardmask scheme showed higher electrical yield and better performance than etch under PR scheme. Although trench sidewalls were exposed to plasma during both schemes, sidewall damage did not contribute to overall leakage. The RIE process developed and the characterization results have confirmed the compatibility of material and RIE process for successful process integration.  相似文献   
3.
A new approach is identified to eliminate unwanted patterns in high transmission phase shift masks to achieve useful patterning across pitch. A sub-resolution structure is added to the reticle where the propensity of unwanted pattern is found to be maximum. This sub-resolution feature is fully transmitting and has a phase opposite to that of the background. Simulations prove that the light from this feature is successful in nullifying the background intensity responsible for producing the unwanted patterns, while the radiation coming out of the added feature itself gets cancelled and there is no resulting aerial image intensity at the location of the main feature. This technique will help patterning at dense (side lobe prone) pitches even for high transmission masks. Also, the mask-making process will be lot simpler than that of a ternary mask.  相似文献   
4.
Scattering bars have been very effective technique to increase the common lithography process window for patterns with design rules 0.18 μm and below. This paper studies the placement of scattering bars in binary and attenuated phase shift mask in damascene trench patterning. Different partial coherence values are used to compare the scattering bar effect in binary and 8% attenuated phase shift mask. At low partial coherence (σ) the trench size has been found more sensitive to scattering bar parameters than at high σ. Scattering bar separation is found more effective than size to affect the trench critical dimension (CD). At low partial coherence a deep valley or ‘V’ shaped CD trend is found in scattering bar separation versus CD curve. CD dip is more using APSM as compared to binary mask. The process latitude is poor at valley as compared to top. Also, 3 sigma CD variation and range is higher at valley as compared to other separations of the scattering bars.  相似文献   
5.
As device size shrinks resist line peeling becomes more challenging. In this paper we studied the resist pattern peeling based on resist processing parameters and type of bottom antireflective coating (BARC), for patterning trench structures with different duty ratios, in copper and low k dual damascene process. To minimize resist poisoning in dual damascene process, acetal-based resist was used. Significant improvement in via poisoning was observed with this chemistry as compared to environmentally stable chemically amplified resist chemistry but at the cost of pattern peeling. In order to solve pattern peeling problem we tried to analyze key factors such as compatibility with BARC, post-exposure bake, BARC curing, adhesion and their effects. Pitch dependency on pattern peeling margin is observed.  相似文献   
6.
New barrier layer, etch stop and hardmask films, including hydrogenated amorphous a-SiCx:H (SiC), a-SiCxOy:H (SiCO), and a-SiCxNy:H (SiCN) films with a dielectric constant (k) approximately 4.3, are produced using the plasma-enhanced chemical vapor deposition technique. The chemical and structural nature, and mechanical properties of these films are characterized using X-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy, and nano-indentation. The leakage current density and breakdown electric field are investigated by a mercury probe on a metal-insulator-semiconductor structure. The properties of the studied films indicate that they are potential candidates as barrier layer, etch stop and hardmask films for the advanced interconnect technology. The SiC film shows a high leakage current density (1.3×10−7 A/cm2 at 1.0 MV/cm) and low breakdown field (1.2 MV/cm at 1.0×10−6 A/cm2). Considering the mechanical and electrical properties requirements of the interconnect process, SiCN might be a good choice, but the N content may result in via poison problem. The low leakage current (1.2×10−9 A/cm2 at 1.0 MV/cm), high breakdown field (3.1 MV/cm at 1.0×10−6 A/cm2), and relative high hardness (5.7 GPa) of the SiCO film indicates a good candidate as a barrier layer, etch stop, or hardmask.  相似文献   
7.
This letter reports on an integration of dual-strained surface-channel CMOS structure, i.e., tensile-strained Si n-MOSFET and compressive strained-SiGe p-MOSFET. This has been accomplished by forming the relaxed and compressive strained-SiGe layers simultaneously on an Si/SiGe-on-insulator (SOI) substrate, through varying SiGe film thicknesses, followed by a thermal condensation technique to convert the Si body into SiGe with different [Ge] concentration and with different strains (including the relaxed state). A thin Si film was selectively deposited over the relaxed SiGe region. The p-MOSFET in compressive (/spl epsiv//spl sim/ -1.07%) strained- Si/sub 0.55/Ge/sub 0.45/ and the n-MOSFET in tensile-strained Si over the relaxed Si/sub 0.80/Ge/sub 0.20/ exhibited significant hole (enhancement factor /spl sim/ 1.9) and electron (enhancement factor /spl sim/ 1.6) mobility enhancements over the Si reference.  相似文献   
8.
A matrix-dispersion type Transdermal Drug Delivery System (TDS) of Pentazocine (PZ) was fabricated, using combinations of rate controlling polymers, namely Eudragits RS100 (RS), RL100 (RL), Ethylcellulose (EC) and Polyvinyl pyrrolidone (PVP), with the objective of examining the effects of formulation variables on drug-permeation profiles. In depth in-vitro drug release and skin-permeation kinetics with three different loads, and also the effects of combination of isopropyl Myristate (IPM), as permeation enhancer, were studied using male albino mice abdominal skin. The release of PZ over a 12 hour period followed Higuchi kinetics, while in-vitro mice-skin permeation of PZ followed an apparent Zero-order kinetics over a period of 24 hours.  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号