首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
An understanding of the exact structural makeup of dielectric interface is crucial for development of novel gate materials. In this paper a study of the HfO2/Si interface created by the low-temperature deposition ultrathin stoichiometric HfO2 on Si substrates by reactive sputtering is presented. Analysis, quantification and calculation of layer thickness of an HfO2/Hf-Si-Ox/SiO2 gate stack dielectrics have been performed, using X-ray photoelectron spectroscopy (XPS) depth profile method, angle resolved XPS and interface modeling by XPS data processing software. The results obtained were found to be in good agreement with the high frequency capacitance-voltage (C-V) measurements. The results suggest a development of a complex three layer dielectric stack, including hafnium dioxide layer, a narrow interface of hafnium silicate and broad region of oxygen diffusion into silicon wafer. The diffusion of oxygen was found particularly detrimental to the electrical properties of the stack, as this oxygen concentration gradient leads to the formation of suboxides of silicon with a lower permittivity, κ.  相似文献   

2.
This paper describes the structural properties and electrical characteristics of thin Dy2O3 dielectrics deposited on silicon substrates by means of reactive sputtering. The structural and morphological features of these films after postdeposition annealing were studied by X-ray diffraction and X-ray photoelectron spectroscopy. It is found that Dy2O3 dielectrics annealed at 700 °C exhibit a thinner capacitance equivalent thickness and better electrical properties, including the interface trap density and the hysteresis in the capacitance-voltage curves. Under constant current stress, the Weibull slope of the charge-to-breakdown of the 700 °C-annealed films is about 1.6. These results are attributed to the formation of well-crystallized Dy2O3 structure and the reduction of the interfacial SiO2 layer.  相似文献   

3.
Hafnium oxynitride (HfOxNy) gate dielectric has been deposited on Si (1 0 0) by means of radio frequency (rf) reactive sputtering using directly a HfO2 target in N2/Ar ambient. The thermal stability and microstructural characteristics for the HfOxNy films have been investigated. XPS results confirmed that nitrogen was successfully incorporated into the HfO2 films. XRD analyses showed that the HfOxNy films remain amorphous after 800 °C annealing in N2 ambient. Meanwhile the HfOxNy films can also effectively suppress oxygen diffusion during high temperature annealing and prevent interface layer from forming between HfOxNy films and Si substrates. AFM measurements demonstrated that surface roughness of the HfOxNy films increase slightly as compared to those pure HfO2 films after post deposition annealing. By virtue of building reasonable model structure, the optical properties of the HfOxNy films have been discussed in detail.  相似文献   

4.
M. Liu  G. He  Q. Fang  G.H. Li 《Applied Surface Science》2006,252(18):6206-6211
High-k HfO2-Al2O3 composite gate dielectric thin films on Si(1 0 0) have been deposited by means of magnetron sputtering. The microstructure and interfacial characteristics of the HfO2-Al2O3 films have been investigated by using X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR) and spectroscopic ellipsometry (SE). Analysis by XRD has confirmed that an amorphous structure of the HfO2-Al2O3 composite films is maintained up to an annealing temperature of 800 °C, which is much higher than that of pure HfO2 thin films. FTIR characterization indicates that the growth of the interfacial SiO2 layer is effectively suppressed when the annealing temperature is as low as 800 °C, which is also confirmed by spectroscopy ellipsometry measurement. These results clearly show that the crystallization temperature of the nanolaminate HfO2-Al2O3 composite films has been increased compared to pure HfO2 films. Al2O3 as a passivation barrier for HfO2 high-k dielectrics prevents oxygen diffusion and the interfacial layer growth effectively.  相似文献   

5.
High-k ytterbium oxide (Yb2O3) gate dielectrics were deposited on Si substrate by reactive sputtering. The structural features of these films after postdeposition annealing treatment were studied by X-ray diffraction and X-ray photoelectron spectroscopy. It is found that the Yb2O3 gate dielectrics annealed at 700 °C exhibit a larger capacitance value, a lower frequency dispersion and a smaller hysteresis voltage in C-V curves compared with other annealing temperatures. They also show negligible charge trapping under high constant voltage stress. This phenomenon is mainly attributed to the decrease in the amorphous silica thickness.  相似文献   

6.
High-k gate dielectric HfO2 thin films have been deposited on Si(1 0 0) by using plasma oxidation of sputtered metallic Hf thin films. The optical and electrical properties in relation to postdeposition annealing temperatures are investigated by spectroscopic ellipsometry (SE) and capacitance-voltage (C-V) characteristics in detail. X-ray diffraction (XRD) measurement shows that the as-deposited HfO2 films are basically amorphous. Based on a parameterized Tauc-Lorentz dispersion mode, excellent agreement has been found between the experimental and the simulated spectra, and the optical constants of the as-deposited and annealed films related to the annealing temperature are systematically extracted. Increases in the refractive index n and extinction coefficient k, with increasing annealing temperature are observed due to the formation of more closely packed thin films and the enhancement of scattering effect in the targeted HfO2 film. Change of the complex dielectric function and reduction of optical band gap with an increase in annealing temperature are discussed. The extracted direct band gap related to the structure varies from 5.77, 5.65, and 5.56 eV for the as-deposited and annealed thin films at 700 and 800 °C, respectively. It has been found from the C-V measurement the decrease of accumulation capacitance values upon annealing, which can be contributed to the growth of the interfacial layer with lower dielectric constant upon postannealing. The flat-band voltage shifts negatively due to positive charge generated during postannealing.  相似文献   

7.
The impact of the ZrO2/La2O3 film thickness ratio and the post deposition annealing in the temperature range between 400 °C and 600 °C on the electrical properties of ultrathin ZrO2/La2O3 high-k dielectrics grown by atomic layer deposition on (1 0 0) germanium is investigated. As-deposited stacks have a relative dielectric constant of 24 which is increased to a value of 35 after annealing at 500 °C due to the stabilization of tetragonal/cubic ZrO2 phases. This effect depends on the absolute thickness of ZrO2 within the dielectric stack and is limited due to possible interfacial reactions at the oxide/Ge interface. We show that adequate processing leads to very high-k dielectrics with EOT values below 1 nm, leakage current densities in the range of 0.01 A/cm2, and interface trap densities in the range of 2-5 × 1012 eV−1 cm−2.  相似文献   

8.
HfO2 films are deposited by atomic layer deposition (ALD) using tetrakis ethylmethylamino hafnium (TEMAH) as the hafnium precursor, while O3 or H2O is used as the oxygen precursor. After annealing at 500℃ in nitrogen, the thickness of Ge oxide's interfacial layer decreases, and the presence of GeO is observed at the H2O-based HfO2 interface due to GeO volatilization, while it is not observed for the O3-based HfO2. The difference is attributed to the residue hydroxyl groups or H2O molecules in H2O-based HfO2 hydrolyzing GeO2 and forming GeO, whereas GeO is only formed by the typical reaction mechanism between GeO2 and the Ge substrate for O3-based HfO2 after annealing. The volatilization of GeO deteriorates the characteristics of the high-κ films after annealing, which has effects on the variation of valence band offset and the C–V characteristics of HfO2/Ge after annealing. The results are confirmed by X-ray photoelectron spectroscopy (XPS) and electrical measurements.  相似文献   

9.
We describe the structural properties and electrical characteristics of thin thulium oxide (Tm2O3) and thulium titanium oxide (Tm2Ti2O7) as gate dielectrics deposited on silicon substrates through reactive sputtering. The structural and morphological features of these films were explored by X-ray diffraction, X-ray photoelectron spectroscopy, secondary ion mass spectrometry, and atomic force microscopy, measurements. It is found that the Tm2Ti2O7 film annealed at 800 °C exhibited a thinner capacitance equivalent thickness of 19.8 Å, a lower interface trap density of 8.37 × 1011 eV−1 cm−2, and a smaller hysteresis voltage of ∼4 mV than the other conditions. We attribute this behavior to the Ti incorporated into the Tm2O3 film improving the interfacial layer and the surface roughness. This film also shows negligible degrees of charge trapping at high electric field stress.  相似文献   

10.
Trichloroethylene (TCE) pretreatment of Si surface prior to HfO2 deposition is employed to fabricate HfO2 gatedielectric MOS capacitors. Influence of this processing procedure on interlayer growth, HfO2/Si interface properties, gate-oxide leakage and device reliability is investigated. Among the surface pretreatments in NH3, NO, N2O and TCE ambients, the TCE pretreatment gives the least interlayer growths the lowest interface-state density, the smallest gate leakage and the highest reliability. All these improvements should be ascribed to the passivation effects of Cl2 and HC1 on the structural defects in the interlayer and at the interface, and also their gettering effects on the ion contamination in the gate dielectric.  相似文献   

11.
Ultra-thin HfO2 gate-dielectric films were fabricated by ion-beam sputtering a sintered HfO2 target and subsequently annealed at different temperatures and atmospheres.We have studied the capacitance-voltage,current-voltage,and breakdon characteristics of the gate dielectrics.The results show that electrical characteristics of HfO2 gate dielectric are related to the annealing temperature.With increase annealing temperature,the largest value of capacitance decreases,the equivalent oxide thickness increases,the leakage current reduces,and the breakdown voltage decreases.  相似文献   

12.
La-doped HfO2 gate dielectric thin films have been deposited on Si substrates using La(acac)3 and Hf(acac)4 (acac = 2,4-pentanedionate) mixing sources by low-pressure metal-organic chemical vapor deposition (MOCVD). The structure, thermal stability, and electrical properties of La-doped HfO2 films have been investigated. Inductive coupled plasma analyses confirm that the La content ranging from 1 to 5 mol% is involved in the films. The films show smaller roughness of ∼0.5 nm and improved thermal stability up to 750 °C. The La-doped HfO2 films on Pt-coated Si and fused quartz substrates have an intrinsic dielectric constant of ∼28 at 1 MHz and a band gap of 5.6 eV, respectively. X-ray photoelectron spectroscopy analyses reveal that the interfacial layer is Hf-based silicate. The reliable value of equivalent oxide thickness (EOT) around 1.2 nm has been obtained, but with a large leakage current density of 3 A/cm2 at Vg = 1V + Vfb. MOCVD-derived La-doped HfO2 is demonstrated to be a potential high-k gate dielectric film for next generation metal oxide semiconductor field effect transistor applications.  相似文献   

13.
In this article, the structural and electrical characteristics of high-k Tm2Ti2O7 gate dielectrics deposited on Si (1 0 0) by means of reactive cosputtering were reported. The Tm2Ti2O7 dielectrics annealed at 800 °C exhibited excellent electrical properties such as high capacitance value, small density of interface state, almost no hysteresis voltage, and low leakage current. This phenomenon is attributed to a rather well-crystallized Tm2Ti2O7 structure and composition and a smooth surface observed by X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy, respectively. This film also shows almost negligible charge trapping under high constant voltage stress.  相似文献   

14.
We have applied the spectroscopic photoemission and low energy electron microscope to study high-k gate dielectrics and have performed the following in situ operations during ultrahigh vacuum annealing: real-time observation of surface morphology and microregion photoelectron spectroscopy measurements. Changes in surface morphology and electronic states were consistent with the models previously reported in the case of HfO2/Si. No clear differences between void regions and nonvoid regions have been observed in microregion photoelectron spectra for poly-Si/HfO2/Si, regardless of phase separation in real space. These results have suggested that the initial void formation occurs in about 100-nm wide regions for both HfO2/Si and poly-Si/HfO2/Si.  相似文献   

15.
Thermal stability, interfacial structures and electrical properties of amorphous (La2O3)0.5(SiO2)0.5 (LSO) films deposited by using pulsed laser deposition (PLD) on Si (1 0 0) and NH3 nitrided Si (1 0 0) substrates were comparatively investigated. The LSO films keep the amorphous state up to a high annealing temperature of 900 °C. HRTEM observations and XPS analyses showed that the surface nitridation of silicon wafer using NH3 can result in the formation of the passivation layer, which effectively suppresses the excessive growth of the interfacial layer between LSO film and silicon wafer after high-temperature annealing process. The Pt/LSO/nitrided Si capacitors annealed at high temperature exhibit smaller CET and EOT, a less flatband voltage shift, a negligible hysteresis loop, a smaller equivalent dielectric charge density, and a much lower gate leakage current density as compared with that of the Pt/LSO/Si capacitors without Si surface nitridation.  相似文献   

16.
We systematically investigated the role of the top interface for TaCx and HfCx/HfO2 gate stacks on the effective work function (Φm,eff) shift by inserting a SiN layer at the gate/HfO2 top interface or HfO2/SiO2 bottom interface. We found that Φm,eff of the TaN gate electrode on HfO2 was larger than that on SiO2 because of the HfO2/SiO2-bottom-interface dipole. On the other hand, we found that Φm,eff values of the TaCx and HfCx gate electrodes on HfO2 agree with Φm,eff on SiO2. This is because the potential offset of the opposite direction with respect to the bottom interface dipole appears at the metal carbide/HfO2 interface. It is thus concluded that the top interface in the metal carbide/HfO2 gate stacks causes the negative Φm,eff shift.  相似文献   

17.
Sandwich-structure Al2O3/HfO2/Al2O3 gate dielectric films were grown on ultra-thin silicon-on-insulator (SOI) substrates by vacuum electron beam evaporation (EB-PVD) method. AFM and TEM observations showed that the films remained amorphous even after post-annealing treatment at 950 °C with smooth surface and clean silicon interface. EDX- and XPS-analysis results revealed no silicate or silicide at the silicon interface. The equivalent oxide thickness was 3 nm and the dielectric constant was around 7.2, as determined by electrical measurements. A fixed charge density of 3 × 1010 cm−2 and a leakage current of 5 × 10−7A/cm2 at 2 V gate bias were achieved for Au/gate stack /Si/SiO2/Si/Au MIS capacitors. Post-annealing treatment was found to effectively reduce trap density, but increase in annealing temperature did not made any significant difference in the electrical performance.  相似文献   

18.
The current trend in miniaturization of metal oxide semiconductor devices needs high-k dielectric materials as gate dielectrics. Among all the high-k dielectric materials, HfO2 enticed the most attention, and it has already been introduced as a new gate dielectric by the semiconductor industry. High dielectric constant (HfO2) films (10?nm) were deposited on Si substrates using the e-beam evaporation technique. These samples were characterized by various structural and electrical characterization techniques. Rutherford backscattering spectrometry, X-ray reflectivity, and energy-dispersive X-ray analysis measurements were performed to determine the thickness and stoichiometry of these films. The results obtained from various measurements are found to be consistent with each other. These samples were further characterized by I–V (leakage current) and C–V measurements after depositing suitable metal contacts. A significant decrease in the leakage current and the corresponding increase in device capacitance are observed when these samples were annealed in oxygen atmosphere. Furthermore, we have studied the influence of gamma irradiation on the electrical properties of these films as a function of the irradiation dose. The observed increase in the leakage current accompanied by changes in various other parameters, such as accumulation capacitance, inversion capacitance, flat band voltage, mid-gap voltage, etc., indicates the presence of various types of defects in irradiated samples.  相似文献   

19.
In this study, we investigated the effect of a post annealing sequence on the HfO2 crystal phase and the memory window of charge trap devices with TiN-Al2O3-HfO2-SiO2-Si stacks. The charge trap dielectrics of HfO2 were deposited by atomic layer deposition and were annealed in an oxygen environment with or without Al2O3 blocking oxides. X-ray diffraction analysis showed that, after thermal annealing, the predominant crystal phase of HfO2 is divided into tetragonal and monoclinic phase depending on the presence or absence of Al2O3 blocking oxide. In addition, deconvolution of X-ray diffraction spectra showed that, with increasing annealing temperature, the fraction of the tetragonal phase in the HfO2 film was enhanced with the Al2O3 blocking oxide, while it was reduced without the Al2O3 blocking oxide. Finally, measurements of program/erase and increase-step-pulse programming showed that the charge trap efficiency and the memory window of the charge trap devices increased with decreasing fraction of tetragonal HfO2.  相似文献   

20.
反应溅射法制备TiO2薄膜   总被引:10,自引:0,他引:10       下载免费PDF全文
赵坤  朱凤  王莉芳  孟铁军  张保澄  赵夔 《物理学报》2001,50(7):1390-1395
报道了用反应溅射法制备TiO2薄膜的实验研究.详细研究了氧分压、基底温度和退火温度对成膜结构的影响.制备出了具有金红石和锐钛矿晶体结构的TiO2薄膜.分析了金红石和锐钛矿晶体的形成条件,并对薄膜的表面形貌进行了测量. 关键词: 反应溅射 2薄膜')" href="#">TiO2薄膜  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号