首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
A number of F2-based plasma chemistries (NF3, SF6, PF5, and BF3) were investigated for high rate etching of SiC. The most advantageous of these is SF6, based on the high rate (0.6 μm·min−1) it achieves and its relatively low cost compared to NF3. The changes in electrical properties of the near-surface region are relatively minor when the incident ion energy is kept below approximately 75 eV. At a process pressure of 5 mtorr, the SiC etch rate falls-off by ∼15% in 30 μm diameter via holes compared to larger diameter holes (>60 μm diameter) or open areas on the mask. We also measured the effect of exposed SiC area on the etch rate of the material.  相似文献   

2.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

3.
Thickness and etch rate of SiO2 films thermally grown on hexagonal SiC substrates were compared to results obtained from SiO2/Si samples. The data confirm that profilometry and ellipsometry yield the same thickness values for oxides grown on Si and SiC. Within the accuracy of our measurements, oxides grown on different polytypes and faces of SiC etch at the same rate in a HF acid solution. The etch rate using a 50:1 H2O:HF(50%) solution at room temperature is 0.1 nm/s and is uniform throughout the thickness of the SiO2 films. The rate is the same as that obtained for SiO2 grown on Si.  相似文献   

4.
The plasmochemical etching of SiO2 in CF4 + O2 plasma is considered. During the experiment SiO2 films are etched in CF4 + O2 plasma at temperatures of 300 and 350 K. The dependences of plasmochemical etching rates of SiO2 on O2 content in the feed are measured. The experimental measurements are compared with theoretical calculations. The obtained theoretical results are used to predict the real dimensions of etched trenches. It is found that decrease in temperature reduces lateral undercutting due to decreased desorption of formed SiF4 molecules from the sidewalls.  相似文献   

5.
The reactive ion etching (RIE) of SiO2 in CF4 + H2 plasma is considered. The influence of activated polymer on the RIE rate of SiO2 in CF4 + H2 plasma is determined by extrapolation of experimentally measured kinetics of the etching rate. It is found that the increased surface coverage by CF2 radicals suppresses the RIE rate of SiO2 in CF4 + H2 plasma during the initial stages of the etching process. The formation of activated polymer becomes pronounced when adsorbed CF2 radicals are slowly activated. The activated polymer intensifies the etching reaction and enhances the etching rate. At the same time, the activated polymer intensifies the polymerization reactions. The increased surface coverage by the polymer suppresses the RIE rate of SiO2 in CF4 + H2 plasma at later stages of the etching process.  相似文献   

6.
The dry etching characteristics of Cr film in the CCl4/O2 mixed gas plasma have been investigated with a variety of etching parameters in the planar type reactor with the 13.56 MHz rf power. Moreover the dry etching resistance of EB resists and variation of the feature size on a 12.5 and 15 cm Cr-Mask are described. The etch rate of Cr film depends strongly on the etchant gas composition ratio, the electrode separation and the electrode surface materials. In the cathode coupling mode with a gas pressure of 0.2 Torr, a gas flow rate of CCl4/O2 of 0.5, electrode separation of 80 mm and rf power density of 0.38 W/cm2, the following results are obtained: (1) The etch rate of Cr film is about 30 nm/min, Paper presented partially at 23rd Annual Electronic Materials Conference, University of California, Santa Barbara, California, June 24, 1981.  相似文献   

7.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

8.
Carbon doping and etching by CBr4 were studied for GaxIn1−xAsyP1−y (0≤y≤1) on GaAs grown by metalorganic chemical vapor deposition. It was found that the hole concentration drastically decreases with decreasing y when the flow rate of CBr4 is constant. When y is under 0.5, the conduction type of GaInAsP changes ton-type. In the region of 0<y<0.6, the surface morphology was degraded and the carrier compensation became higher than could be estimated from the C concentration. This seems to be due to the micro defects because this range of composition is within the unstable region which is theoretically predicted. The etching effect by CBr4 was observed during the growth. The rate of etching for InAsP component is about three times larger than that for the GaAsP component. The thermodynamic analysis suggests that the etching is due to the increase of the partial pressure of GaBr and InBr.  相似文献   

9.
The etching characteristics of SiO2} have been investigated in the CHF3} gas plasma using the planar type reactor with the 400 kHz rf power. The etch rate of SiO2}, the SiO2} /Si and SiO2}/resist etch rate ratios, and the deterioration of photoresist films are studied with a variety of etching parameters. The etching characteristics depend strongly on the coupling mode. With the cathode coupling mode, the values of 300å/min and of larger than 100 are obtained for the etch rate of SiO2} and the SiO2}/Si etch rate ratio, respectively. Only 8 is given for the SiO2} /Si etch rate ratio with the anode one. The deterioration of photoresist films less occurs with the cathode coupling mode than with the anode one. The dependences of the etching characteristics on the rf current, gas pressure, gas flow rate, and the electrode separations are also studied some in detail with the cathode coupling mode. Possible explanations for some of the experimental results are discussed.  相似文献   

10.
采用CF4,CHF3,Ar三种工艺气体进行小尺寸CCD接触孔刻蚀实验,研究了不同气体配比、不同射频功率对刻蚀速率、选择比、条宽控制、侧壁形貌等参数的影响。通过优化工艺参数,比较刻蚀结果,最终获得了适合于刻蚀CCD小孔的工艺条件。  相似文献   

11.
Dry etching of multilayer magnetic thin film materials is necessary for the development of sensitive magnetic field sensors and memory devices. The use of high ion density electron cyclotron resonance (ECR) plasma etching for NiFe, NiFeCo, TaN, and CrSi in SF6/Ar, CH4/H2/Ar, and Cl2/Ar plasmas was investigated as a function of microwave source power, rf chuck power, and process pressure. All of the plasma chemistries are found to provide some enhancement in etch rates relative to pure Ar ion milling, while Cl2/Ar provided the fastest etch rate for all four materials. Typical etch rates of 3000Å/min were found at high microwave source power. Etch rates of these metals were found to increase with rf chuck power and microwave source power, but to decrease with increasing pressure in SF6/Ar, CH4/H2/Ar, and Cl2/Ar. A significant issue with Cl2/Ar is that it produces significant metal-chlorine surface residues that lead to post-etch corrosion problems in NiFe and NiFeCo. However, the concentration of these residues may be significantly reduced by in-situ H2 or O2 plasma cleaning prior to removal of the samples from the etch reactor.  相似文献   

12.
Low-energy electron-enhanced etching of HgCdTe   总被引:3,自引:0,他引:3  
Low-energy electron-enhanced etching (LE4) is applied to HgCdTe to eliminate ion-induced surface damage. First, LE4 results for patterned samples are illustrated. The LE4 mechanism is understood from a mechanistic study in terms of three etch variables: direct current (DC) bias, gas composition, and sample temperature. For this paper, the effects of DC bias (electron energy) and gas composition (CH4 concentration) are summarized qualitatively, followed by quantitative evidence. Etch rate, the amount of polymer, surface stoichiometry, and surface roughness have specific relations with each etch variable under competition between pure LE4 and polymer deposition.  相似文献   

13.
We report results on a study on inductively coupled plasma (ICP) etching of HgCdTe using a CH4-based mixture. Effects of key process parameters on etch rates were investigated and are discussed in this article in light of plasma parameter measurements, performed using a Langmuir probe. Process parameters of interest include ICP source power, substrate power, pressure, and CH4 concentration. We show that the ICP etching technique allows us to obtain etch rates of about 200 nm/min, which is high enough to use this technique in a manufacturing process. We also observe that the ion bombardment has a strong influence on HgCdTe etch rate. Finally, we show that this etch rate is modified by the substitution of methane for hydrogen.  相似文献   

14.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

15.
Etching of Ge2Sb2Te5 (GST) is a critical step in the fabrication of chalcogenide random access memories. In this paper, the etch characteristics of GST films were studied with a CF4/Ar gas mixture using a reactive-ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration indicating its importance in defining the material removal rate. Argon, on the other hand, plays an important role in defining the smoothness of the etched surface and sidewall edge acuity. We have studied the importance of gas mixture and RF power on the quality of the etched film. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 80 mTorr, and power of 200 W.  相似文献   

16.
A simple photodetector has been developed to monitor plasma etching of polysilicon, pyrolytic silicon nitride and reactive plasma deposited silicon nitride.  相似文献   

17.
Optical absorption of Si implanted SiO2 is characterized as a function of implant dose and energy upon annealing in N2, H2 and O2 ambients. Interpretation of optical data yields information regarding the structure of defects due to excess Si. These defects are responsible for the memory effect and enhanced conductivity previously reported for Si implanted SiO2. A correlation between E-band absorption (Si-Si ‘wrong’ bond defect) intensity and the amount of excess Si was established. Annealing of this band in O2 is diffusion-limited with a reaction cross-section of 5.10−15 cm2. Compressive strain-induced, oxygen diffusivity-retardation was observed. The C-band absorption (relaxed oxygen vacancy defect) observed in this study is unique in its response to heat treatment in N2 and H2 since it does not anneal in these ambients. C-band annealing kinetics in O2 closely parallel those of E-band. B2-band absorption (unrelaxed oxygen vacancy defect) produced by Si implantation is very similar in its annealing properties to the published data.  相似文献   

18.
An etching process with high selectivity for SiN relative to SiO2 at a low temperature is required for an etching process in LSI process. We achieved SiN film etching with high selectivity using an organic solvent (ethylene glycol dimethyl ether) containing anhydrous hydrogen fluoride. Selectivity as high as 15 was obtained at 80 °C. It was found that anhydrous HF effectively induces high selectivity for SiN relative to SiO2. SiN film etching with high selectivity performed at low temperature for a single wafer process can be readily applied to future node technology devices.  相似文献   

19.
To avoid plasma induced erosion of chamber hardware, the application of remote plasma sources to activate the etch gases was introduced. We present results on the etch behaviour of titanium nitride (TiN) using mixtures of NF3, Cl2 and argon. The gas mixture was excited in a remote plasma source and then routed through a reaction chamber to study the etch behaviour of TiN samples which simulate the situation at the chamber walls. The dependency of the TiN etch rate on temperature, gas flow, composition and pressure was examined. While the temperature (studied in the range 25-300 °C) turned out to be the most sensitive parameter, the general etch rate was mainly dependent on the availability of atomic fluorine. Etch products and NF3/Cl2 dissociation have been monitored by quadrupole mass spectrometry and infrared spectroscopy. While NF3 showed a high decomposition up to 96%, chlorine decomposition was not observed. However the addition of chlorine increased the etch rates up to 260% in the low pressure/low temperature regime. Surface effects of chlorine addition are indicated by X-Ray Photoelectron Spectrometry and REM surface analysis.  相似文献   

20.
In this paper is described the synthesis of silica microspheres of low dimensionality, that is, with diameters ranging from ca. 200 to 450 nm. The structure and essential features of these materials have been studied by electron microscopy and analysis of isotherms of physical adsorption and, in contrast of the previously established, the presence of a mesoporous structure within the silica spheres has been unambiguously demonstrated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号