首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Nho  H. Yoon  S.-S. Wong  S. Jung  S.-O. 《Electronics letters》2007,43(16):869-870
A new statistical simulation methodology under process variations in deep sub-micron technology is described. By dividing the overall memory system into sub-blocks and running Monte Carlo simulations locally, significant reduction in the statistical simulation time is achieved. A novel methodology to combine the simulation results and accurately predict the read access failure of the overall system is also presented. This allows allocation of design margins and setting of design guidelines for each sub-block in the early design stage.  相似文献   

2.
A novel NAND flash memory interface (NFMI) scheme to cope with uncertainty due to process, voltage and temperature (PVT) variations is proposed. The new NFMI scheme introduces a signal called data valid strobe to replace the signal read enable bar, which is a read strobe in the standard NFMI protocol. Experimental results show that the proposed scheme is insensitive to PVT variations, unlike the existing NFMI scheme, and hence substantially increases system performance as well as reliability  相似文献   

3.
适于空间图像闪存阵列的非与闪存控制器   总被引:2,自引:2,他引:0  
提出一种适于空间应用的非与(NAND,not and)闪存控制器。首先,分析了空间相机存储图像的要求,说明了闪存控制器结构的特点。接着,分析了闪存数据存储差错的机理,针对闪存结构组织特点提出了一种基于BCH(Bose-Chaudhuri-Hocquenghem,2108,2048,5)码的闪存纠错算法。然后,对传统BCH编码器进行了改进,提出了一种8bit并行蝶形阵列处理机制。最后,使用地面检测设备对闪存控制器进行了试验验证。结果表明,闪存控制器能快速稳定、可靠地工作,在闪存单页2Kbt/page下可以纠正40bit错误,在相机正常工作行频为2.5kHz下拍摄图像时4级流水线闪存连续写入速度达到133Mbit/s,可以满足空间相机图像存储系统的应用。  相似文献   

4.
In this review article, basic properties of NAND flash memory cell strings which consist of cells with virtual source/drain (S/D) (or without S/D) were discussed. The virtual S/D concept has advantages of better scalability, less cell fluctuation due to effectively longer channel length at the same technology node, and less program disturbance. The fringing electric field from the control-gate and/or the floating-gate is essential to induce the virtual S/D (charges) in the space region of the body between control-gates and becomes effective as cell size shrinks. A cell string consisting of planar channel silicon-oxide-nitride-oxide-silicon (SONOS) cells formed in bulk Si substrate needs to have a bit-line body doping of ~5 × 1017 cm?3 in the channel and a less doping in the space region to keep high bit-line read current. The floating gate (FG) flash memory cell string gives larger bit-line current compared to that of SONOS flash memory cell string at given similar body doping. Non-planar channel cells like arch and fin-type body structures were more effective to focus the fringing electric field on the space region. The virtual S/D concept is also useful in 3-dimensional (3-D) stacked NAND flash memory where thin film (or nanowire, nanotube) body is adopted.  相似文献   

5.
Sun Yan  Zhang Jiaxing  Zhang Minxuan  Hao Yue 《半导体学报》2010,31(2):025013-025013-5
We first study the impacts of soft errors on various types of CAM for different feature sizes. After presenting a soft error immune CAM cell, SSB-RCAM, we propose two kinds of reliable CAM, DCF-RCAM and DCK-RCAM.In addition, we present an ignore mechanism to protect dual cell redundancy CAMs against soft errors. Experimental results indicate that the 11T-NOR CAM cell has an advantage in soft error immunity. Based on 11T-NOR, the proposed reliable CAMs reduce the SER by about 81% on average with acceptable overheads. The SER of dual cell redundancy CAMs can also be decreased using the ignore mechanism in specific applications.  相似文献   

6.
孙岩  张甲兴  张民选  郝跃 《半导体学报》2010,31(2):025013-5
电路的软错误易感性是VLSI设计中需要考虑的重要问题。CAM广泛应用于各种片上结构中,非常容易受软错误感染。然而,CAM的保护比其它存储元件难度更大。本文首先研究了软错误对不同类型、不同特征尺寸CAM的影响。在介绍一种软错误免疫CAM单元SSB-RCAM后,提出两种可靠CAM DCF-RCAM和DCK-RCAM。此外,本文还提出一种抛弃机制保护双单元冗余CAM免受软错误的影响。实验结果表明,11T-NOR结构的CAM单元在软错误免疫性上具有优势。基于11T-NOR结构,所提出的可靠CAM结构在可接受的开销下,平均可降低约81%的软错误率。在特定的应用中,还可以通过使用抛弃机制降低双单元冗余CAM的软错误率。  相似文献   

7.
Introduced the concept of floating-gate interference in flash memory cells for the first time. The floating-gate interference causes V T shift of a cell proportional to the VT change of the adjacent cells. It results from capacitive coupling via parasitic capacitors around the floating gate. The coupling ratio defined in the previous works should be modified to include the floating-gate interference. In a 0.12-μm design-rule NAND flash cell, the floating-gate interference corresponds to about 0.2 V shift in multilevel cell operation. Furthermore, the adjacent word-line voltages affect the programming speed via parasitic capacitors  相似文献   

8.
A 70 nm 16 Gb 16-Level-Cell NAND flash Memory   总被引:1,自引:0,他引:1  
A 16 Gb 16-level-cell (16LC) NAND flash memory using 70 nm design rule has been developed . This 16LC NAND flash memory can store 4 bits in a cell which enabled double bit density comparing to 4-level-cell (4LC) NAND flash, and quadruple bit density comparing to single-bit (SLC) NAND flash memory with the same design rule. New programming method suppresses the floating gate coupling effect and enabled the narrow distribution for 16LC. The cache-program function can be achievable without any additional latches. Optimization of programming sequence achieves 0.62 MB/s programming throughput. This 16-level NAND flash memory technology reduces the cost per bit and improves the memory density even more.  相似文献   

9.
首届IC咖啡国际智慧科技产业峰会于2017年1月14日在上海召开,长江存储集团公司CEO杨士宁介绍了对存储器市场的看法,及选择3D NAND闪存作为主打产品的战略思考.  相似文献   

10.
For the first time, an innovative programming methodology based on the use of ultra-short voltage pulses is applied in NAND flash architecture. The methodology starts from the physics of SILC dynamics and oxide damage, and relies on the trade-off between duration and amplitude of short voltage programming pulses, minimizing the creation of new traps in the tunnel oxide. The short pulses programming technique is applied on a small 50 nm NAND array designed for multibit application. Benefits of the short-pulse operation lie in that data retention and endurance which show meaningful improvements. The result is relevant for application in multibit technology, and opens the way to more aggressive cell scaling rules.  相似文献   

11.
Focusing on internal high-voltage (Vpp) switching and generation for low-voltage NAND flash memories, this paper describes a V (pp) switch, row decoder, and charge-pump circuit. The proposed nMOS Vpp switch is composed of only intrinsic high-voltage transistors without channel implantation, which realizes both reduction of the minimum operating voltage and elimination of the V pp leakage current. The proposed row decoder scheme is described in which all blocks are in selected state in standby so as to prevent standby current from flowing through the proposed Vpp switches in the row decoder. A merged charge-pump scheme generates a plurality of voltage levels with an individually optimized efficiency, which reduces circuit area in comparison with the conventional scheme that requires a separate charge-pump circuit for each voltage level. The proposed circuits were implemented on an experimental NAND flash memory. The charge pump and Vpp switch successfully operated at a supply voltage of 1.8 V with a standby current of 10 μA. The proposed pump scheme reduced the area required for charge-pump circuits by 40%  相似文献   

12.
In this study, the electrical properties of SiGe nanowires in terms of process and fabrication integrity, measurement reliability, width scaling, and doping levels were investigated. Nanowires were fabricated on SiGe-on oxide (SGOI) wafers with thickness of 52 nm and Ge content of 47%. The first group of SiGe wires was initially formed by using conventional I-line lithography and then their size was longitudinally reduced by cutting with a focused ion beam (FIB) to any desired nanometer range down to 60 nm. The other nanowire group was manufactured directly to a chosen nanometer level by using sidewall transfer lithography (STL). It has been shown that the FIB fabrication process allows manipulation of the line width and doping level of nanowires using Ga atoms. The resistance of wires thinned by FIB was 10 times lower than STL wires which shows the possible dependency of electrical behavior on fabrication method.  相似文献   

13.
GaAs MESFETs with gate lengths ranging from 260 nm down to 30 nm have been fabricated using high resolution electron-beam lithography. The DC characteristics including transconductance, output conductance, threshold voltage, and subthreshold current of these devices have been measured. Short-channel effects manifested as a negative shift in threshold voltage and an increase in output conductance have been observed as the gate length decreased. These effects become pronounced as the device aspect ratio (gate-length/channel thickness) falls below 5. Subthreshold current increased with a decrease in gate length and is actually an exponential function of the gate bias for gate dimensions below 100 nm. Also, subthreshold current is an increasingly more sensitive function of the drain-to-source voltage as the gate-length is reduced. The observed effects are attributed to the space charge limited electron injection into the GaAs buffer layer under the channel.<>  相似文献   

14.
We investigated the impact of charge injection and metal gates (Al and Pt) on the data retention characteristics of metal–alumina–nitride–oxide–silicon (MANOS) devices for NAND flash memory application. Through the theoretical and experimental results, the highly injected charge (ΔVTH) could cause the band bending of Al2O3, which reduced the tunneling distance across Al2O3. Thus, the dominant charge loss path is not only toward SiO2 but also toward Al2O3 direction. Compared to low-metal work function (ФM), ONA stack with high-ФM showed better data retention characteristics, even if ΔVTH is high. This could be explained by Fermi level alignment for different ФM, which results in the reduction of electric field across the Al2O3 compensated by the ΔФM (ФPt ? ФAl).  相似文献   

15.
A suitable bird-beak thickness is crucial to the cell reliability. However, the process control for bird-beak thickness in the edge region is very difficult. A new erase method is proposed in this work to modulate the electron tunneling region of 40 nm floating gate NAND flash memory device. The erasing electron can move to gate center from gate edge under back bias at 0.3 V/− 0.8 V. The Fowler-Nordheim (FN) current of erase operation distributes on the whole channel region, not located at the gate edge region. Results show that the proposed method can improve cell reliability about 33%. TCAD analysis is employed to explain and prove the mechanism. This new erase method is promising for scaled NAND flash memory.  相似文献   

16.
《现代电子技术》2017,(16):53-56
针对不同NAND闪存读写操作成本比例的不同,提出一种具有高效页面替换功能的EPRA算法。在内存中,每个受害者候选页被分成固定数量的闪存页面。EPRA给每个受害者候选页分配权重值,在选择与修改页面时对权重进行调节,从候选页中选择具有最小权重值的页面作为受害者页。EPRA算法把受害者页中分为热的闪存页和冷的闪存页,并把这些数据写到NAND闪存中不同空闲的块中。仿真实验结果表明,EPRA算法使用在不同种类的NAND闪存中时,性能优于现有的页面替换算法。  相似文献   

17.
Cannillo  F. Toumazou  C. 《Electronics letters》2005,41(23):1268-1269
The feasibility of robust MOS current-mode logic (MCML) digital circuits operated in subthreshold regime is investigated. The design of a subthreshold MCML inverter gate in a 90 nm CMOS technology is presented together with the evaluation of its DC performance.  相似文献   

18.
李进  邢飞  尤政 《光电子.激光》2014,(8):1598-1605
为了提高空间CCD相机图像NAND闪存存储可靠性,提出一种基于QC-LDPC码的NAND闪存纠错算法。首先,分析了NAND闪存纠错信道模型;然后,根据闪存特点提出了一种基于QC-LPDC(1056,1024)码的NAND闪存纠错算法,为了加快编码效率提出了校验矩阵构造和高效编码方法,设计的校验阵均是0和1,只有移位和加法运算,非常适合硬件实现;最后,使用地面检测设备对闪存纠错算法进行了试验验证。结果表明,闪存纠错算法能快速稳定、可靠地工作,计算复杂度比较低,算法复杂度仅具为O(N);算法纠错能力高,误码比(BER)为10-6时,本文算法比RS码多0.47dB编码增益;使用65nm CMOS单元库,系统工作频率为250MHz时解码器数据吞吐率达到7.2Gbps;低误码平层,在误比特率为10-8时未出现误码平层。本文的NAND闪存纠错算法满足了空间相机图像存储系统的应用。  相似文献   

19.
Owing to its desirable characteristics, flash memory has become attractive to different hardware vendors as a primary choice for data storage. However, because of a limited number of block-erase lifecycles, it has become mandatory to redesign the existing approaches to maximize the flash memory lifetime. Wear-leveling is a mechanism that helps to evenly distribute erase operations to all blocks and enhance lifetime. This research proposes probability-based static wear-leveling. Based on the Markov Chain theory, the future state depends on the present state. Mapping is implemented according to the present visit probability of each logical block in the next state. In each state, the wear-leveling distribution is computed using the standard deviation to determine whether it exceeds the threshold. If it does exceed the threshold, wear-leveling is maintained throughout all blocks in the flash memory by swapping the hot blocks with cold blocks. Using real system-based traces, we have proved that our proposal outperforms the existing design in terms of wear-leveling.  相似文献   

20.
We report a fast-programming, compact sense and latch (SL) circuit to realize an eight-level NAND flash memory. Fast programming is achieved by supplying optimized voltage and pulsewidth to the bit lines, according to the programming data. As a result, all data programming is completed almost simultaneously, and 0.67-MB/s program throughput, which is 1.7 times faster than conventional program throughput, is achieved. The compact layout of the SL circuit is made possible by four 3-bit latches sharing one unit of the read/verify control circuit. Using these techniques, we fabricated a 144-Mb, eight-level NAND flash memory using a 0.35-μm CMOS process, resulting in a 104.2-mm2 die size and a 1.05-μm2 effective cell size  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号