首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 46 毫秒
1.
从可测性设计角度讨论了信息安全处理芯片的芯片级测试控制器的设计以及相应核的可测性设计.综合结果显示,所设计的芯片级测试控制器所占用的面积代价非常小.  相似文献   

2.
系统芯片的可测性设计与测试   总被引:2,自引:0,他引:2  
谢永乐  陈光 《微电子学》2006,36(6):749-753,758
阐述了系统芯片(SoC)测试相比传统IC测试的困难,SoC可测性设计与测试结构模型,包括测试存取配置、芯核外测试层,以及测试激励源与测试响应汇聚及其配置特性、实现方法与学术研究进展,介绍了基于可复用内嵌芯核的SoC国际测试标准IEEE P1500的相关规约;最后,建议了在SoC可测性设计及测试中需要密切关注的几个理论问题。  相似文献   

3.
系统芯片SoC可以实现一个系统的功能,为了保证系统芯片的功能正确性与可靠性,在它的设计与制造的多个阶段必需进行测试。由于系统芯片的集成度高,结构和连接关系复杂,使得对它进行测试的难度越来越大,因此需要采用专门的测试结构。本文对系统芯片的可测性设计以及测试结构的设计方法等进行了介绍和综述。  相似文献   

4.
面向系统芯片的可测性设计   总被引:8,自引:0,他引:8  
陆思安  史峥  严晓浪 《微电子学》2001,31(6):440-442
随着集成电路的规模不断增大,芯片的可测性设计正变越来越重要。回顾了一些常用的可测性设计技术,分别讨论了系统芯片(SOC)设计中的模块可测性设计和芯片可测性设计策略。  相似文献   

5.
随着集成电路制造技术的快速发展,系统芯片SOC(System-on-chip)的应用日益广泛。但SOC设计也遇到诸多挑战,测试就是其中的挑战之一。众所周知,测试问题是SOC设计的一个瓶颈。SOC的测试应包括各内核的测试、用户定义逻辑模块的测试以及各功能块(内核、用户定义逻辑模块)之间连接的测试。因此,SOC的测试是一项重要且耗时的工作。  相似文献   

6.
本文介绍了一款异构多核DSP芯片的可测性设计实现,包含存储器内建自测试、存储器修复、扫描链设计、测试压缩和全速扫描测试。文章首先对芯片架构和可测性设计难点进行了介绍,并制定了全芯片可测性设计的策略,随后介绍了具体的实现,最后给出了覆盖率结果。实验结果表明该设计的测试覆盖率符合工程应用要求。  相似文献   

7.
集成电路的快速发展,迫切地需要快速、高效、低成本且具有可重复性的测试方案,这也成为可测性设计的发展方向。此次设计基于一款电力线通信芯片,数字部分采用传统常用的数字模块扫描链测试和存储器内建自测试;同时利用芯片正常的通信信道,引入模拟环路测试和芯片环路内建自测试,即覆盖了所有模拟模块又保证了芯片的基本通信功能,而且最大限度地减少了对芯片整体功能布局的影响。最终使芯片良率在98%以上,达到了大规模生产的要求。此设计可以为当前数模混合通信芯片的测试提供参考。  相似文献   

8.
基于数字电视基带SoC芯片的可测性设计   总被引:1,自引:1,他引:0  
介绍了基于数字电视基带SoC芯片的可测性设计方案.根据系统中不同模块的特点采取有针对性的可测性设计方案,对片内存储器进行内建自测试;对组合逻辑电路、时序逻辑电路采用近全扫描的测试方案;最后采用IEEE1149.1的控制单元作为芯片可测性设计部分的控制单元,控制芯片的测试功能.经测试,该可测性设计满足设计规划的面积和功耗的要求,并且系统的测试覆盖率达到了99.26%.  相似文献   

9.
王滨 《电子技术》2009,46(1):52-53
主要介绍了三种可测性设计(DFT)技术,分别是:扫描设计(Scan Design)、边界扫描设计(Boundary Scan Design)和内建自测试设计(BIST)。对于这三种设计技术,分别介绍了其原理和设计过程。  相似文献   

10.
GPS基带芯片中存储器的可测性设计   总被引:1,自引:0,他引:1       下载免费PDF全文
GPS基带芯片中嵌入的存储器采用存储器内建自测试(Memory Built-in-Self-Test,MBIST)技术进行可测性设计,并利用一种改进型算法对存储器内建自测试电路的控制逻辑进行设计,结果表明整个芯片的测试覆盖率和测试效率均得到显著提高,电路性能达到用户要求,设计一次成功.  相似文献   

11.
In this paper, a method to solve the resource allocation and test scheduling problems together in order to achieve concurrent test for core-based System-On-Chip (SOC) designs is presented. The primary objective for concurrent SOC test is to reduce test application time under the constraints of SOC pins and peak power consumption. The methodology used in this paper is not limited to any specific Test Access Mechanism (TAM). Additionally, it can also be applied to SOC budgeting at design phase to predict a tradeoff between test application time and SOC pins needed. The contribution of this paper is the formulation of the problem as a well-known 2-dimensional bin-packing problem. A best-fit heuristic algorithm is adopted to achieve optimal solution.  相似文献   

12.
This paper deals with the design of SOC test architectures which are efficient with respect to required ATE vector memory depth and test application time. We advocate the usage of a TestRail Architecture, as this architecture, unlike others, allows not only for efficient core-internal testing, but also for efficient testing of the circuitry external to the cores. We present a novel heuristic algorithm that effectively optimizes the TestRail Architecture for a given SOC by efficiently determining the number of TestRails and their widths, the assignment of cores to the TestRails, and the wrapper design per core. Experimental results for four benchmark SOCs show that, compared to previously published algorithms, we obtain comparable or better test times at negligible compute time.  相似文献   

13.
实现基于IP核技术的SoC设计   总被引:6,自引:0,他引:6  
迅速将知识产权(IP)硬化并建立精确的实现模型,是充分实现基于IP核技术的系统芯片(SoC)开发的必要条件。IP抽象的建模不仅有助于重复使用IP而缩短生产周期,还能增强IP的安全保护。功能模型、物理模型、时序模型、测试模型和功率模型等各种硬件化IP模型都将支持整个SoC设计流程。概述IP的交付方式多种多样,但是主要分为两大类:·以RTL(寄存器传送逻辑)形式配置的与工艺无关的软IP;· 以多种GDSII相关模型配置的与工艺有关的硬IP。软IP在配置后可针对多种硅工艺,易于被SoC开发环境采纳,灵活性极高,但是成本也高。况且,…  相似文献   

14.
As System on a Chip (SoC) testing faces new challenges, some new test architectures must be developed. This paper describes a Test Access Mechanism (TAM) named CAS-BUS that solves some of the new problems the test industry has to deal with. This TAM is scalable, flexible and dynamically reconfigurable. The CAS-BUS architecture is compatible with the IEEE P1500 standard proposal in its current state of development, and is controlled by Boundary Scan features.This basic CAS-BUS architecture has been extended with two independent variants. The first extension has been designed in order to manage SoC made up with both wrapped cores and non wrapped cores with Boundray Scan features. The second deals with a test pin expansion method in order to solve the I/O bandwidth problem. The proposed solution is based on a new compression/decompression mechanism which provides significant results in case of non correlated test patterns processing. This solution avoids TAM performance degradation.These test architectures are based on the CAS-BUS TAM and allow trade-offs to optimize both test time and area overhead. A tool-box environment is provided, in order to automatically generate the needed component to build the chosen SoC test architecture.  相似文献   

15.
《Spectrum, IEEE》2008,45(1):16-16
Computer security is war. Security experts are in a constant arms race against the ingenuity of hackers. New microprocessor architecture keeps hackers at bay without compromising security.  相似文献   

16.
预付IC卡芯片中安全加密措施的设计   总被引:1,自引:0,他引:1  
陈杰  邵丙铣 《微电子学》1997,27(4):262-266
讨论了一种预付IC卡芯片设计中使用的两种安全措施-传输代码验证和双向鉴别,给出了设计方法。正确的传输代码验证是IC卡个人化的前提条件,它是通过传输代码比较的结果去控制电压转换的输出实现的。双向鉴别是对IC卡和主机各自合法身分的确认,其核心是加密电路。  相似文献   

17.
This paper proposes a comprehensive model for test planning and design space exploration in a core-based environment. The proposed approach relies on the reuse of available system resources for the definition of the test access mechanism, and for the optimization of several cost factors (area overhead, pin count, power constraints and test time). The use of an expanded test access model and its concurrent definition with the system test schedule makes it possible the search for a cost effective global solution. Experimental results over the ITC'02 SOC Test Benchmarks show the variety of trade-offs that can be explored using the proposed model, and its effectiveness on optimizing the system test planning.  相似文献   

18.
文章设计了一款椭圆曲线密码芯片。实现了GF(2^233)域上normal基椭圆曲线数字签名和认证。并支持椭圆曲线参数的用户配置。在VLSI的实现上,提出了一种新的可支持GF(2^233)域和GF(p)域并行运算的normal基椭圆曲线VLSI架构。其架构解决了以往GF(p)CA算迟后于GF(2^233)域运算的问题,从而提高了整个芯片的运算吞吐率。基于SMIC 0.18μm最坏的工艺,综合后关键路径最大时延3.8ns,面积18mm^2;考虑布局布线的影响,芯片的典型的情况下,每秒可实现8000次签名或4500次认证。  相似文献   

19.
板载FPGA芯片的边界扫描测试设计   总被引:3,自引:0,他引:3  
雷沃妮 《现代雷达》2006,28(1):76-78,82
边界扫描技术是标准化的可测试性设计技术,它提供了埘电路板上器件的功能、互连及相互问影响进行测试的一类方法,极大地方便了对于复杂电路的测试。文中针对某设备分机具体的待测电路,遵循IEEE1149.1标准,结合FPGA芯片的BSDL文件进行边界扫描测试设计,理解和掌握其设计原理、数据结构,并实现板级测试与ATE的接口。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号