首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
《Microelectronic Engineering》2007,84(5-8):885-890
Typically, the Step and Flash Imprint Lithography (S-FILTM) process uses field-to-field drop dispensing of UV-curable liquids for step-and-repeat patterning. Several applications, including patterned magnetic media, photonic crystals, and wire grid polarizers, are better served by a process that allows high-throughput, full-wafer patterning of sub-100 nm structures with modest alignment. Full-wafer imprinting requires a full-wafer template; however, creation of a wafer-scale imprint template with sub-100 nm structures is not feasible with direct-writing approaches. This paper describes a practical methodology for creating wafer-scale templates suitable for full-wafer imprinting of sub-100 nm structures.The wafer-scale template is replicated from a smaller area master template using the S-FIL step-and-repeat process. The pattern is repeated to accommodate the wafer substrate targeted for a particular application. The tone of the master template is maintained by employing an SFIL/RTM (reverse tone) pattern transfer process. To create the replicate template, the patterns are imprinted onto a fused silica wafer that has been coated with chromium and an organic transfer layer. A silicon-containing resist, SilspinTM, is spun on to planarize the organic monomer material. Following an etch back of the Silspin, the monomer and transfer layer are patterned using the Silspin as a hard mask. The Silspin and monomer stack then serves as a masking layer for the chromium and fused silica etches. The remaining monomer and chromium are then removed to create a conformal replicate template.  相似文献   

2.
Acrylate and vinyl ether monomers have previously been shown to be effective photocurable materials for step and flash imprint lithography. The photo polymerization of these monomer systems is exothermic. If the imprint process was adiabatic, the heat generated during polymerization could increase the temperature of the material to greater than 300 °C, possibly resulting in material degradation and image distortion. A finite element method was used to analyze the temperature profile during photopolymerization for non-adiabatic conditions. The heat from UV absorption is negligible because acrylate and vinyl ether imprint materials are transparent in the UV lamp exposure region and the loading of photo acid generator and photo radical initiator is low. This model indicates that the temperature increase from polymerization is very small (less than 0.05 °C) due to the rapid heat transfer from the curing material to the silicon wafer.  相似文献   

3.
A GaAs metal–semiconductor field-effect transistor (MESFET) has been realized based on mix-and-match fabrication using optical lithography for the ohmic contacts and imprint lithography for the gate. The gate length and width are 1.2 and 80 μm, respectively, the channel length is 4 μm. For the gate definition a Si-mold is embossed into a thin polymer film located on top of an n-doped GaAs layer. The gate is fabricated by metal evaporation and lift-off.  相似文献   

4.
Distortion reduction by load release for imprint lithography   总被引:1,自引:0,他引:1  
Due to the light source limitation and prohibitive cost inherent in conventional photolithography, various nontraditional patterning technologies, such as imprint lithography, electron beam or X-ray lithography have been attempted over the past 10 years. In this paper, a UV imprint lithography process is introduced for patterning sub-micrometer structures by using a soft PDMS mould, and an imprint experimental device with a loading mechanism driven by PZT for generating a time-variant load is described. As shown experimentally, an increased pressing load will reduce the thickness of the resist layer, leading to a reliable etching-through of the resist. It is found, however, that the mechanical pressing can generate geometrical distortion on the patterned resist mainly due to the elasticity. Incorporated with the use of a low viscosity photo-curable resist, a loading process with a load release step is proposed to reduce the geometrical distortion on the resist patterns. In the loading process, the loading force is partially released after the press peak but before the resist curing. Such a loading process can reduce the elastic distortion while attaining a thin remained resist layer. It is shown that this loading process, called Distortion Reduction by Load Release or DRLR simply can be combined with an imprint process for different patterning areas and feature sizes.  相似文献   

5.
An electrically curable resist has been developed that can make electric imprint lithography (EIL) a reality. The resist is composed of a diaryliodonium salt photo acid generator and a cycloaliphatic epoxy monomer. Its polymerization takes place when an electric potential is applied between a conductive imprint mold and a substrate which sandwich the resist. A proof-of-concept pattern transfer by EIL with a micron-scale resolution has been demonstrated.  相似文献   

6.
The global LED (light emitting diode) market reached 5 billion dollors in 2008 and will be driven towards 9 billion dollors by 2011 [1]. The current applications are dominated by portable device backlighting, e.g. cell phones, PDAs, GPS, laptop etc. In order to open the general lighting market doors the luminous efficiency needs to be improved significantly. Photonic crystal (PhC) structures in LEDs have been demonstrated to enhance light extraction efficiency on the wafer level by researchers [2]. However, there is still a great challenge to fabricate PhC structures on LED wafers cost-effectively. Nanoimprint lithography (NIL) [3] has attracted considerable attentions in this field due to its high resolution, high throughput and low cost of ownership (CoO). However, the current NIL techniques with rigid stamps rely strongly on the substrate flatness and the production atmosphere. Those factors hinder the integration of NIL into high volume production lines. UV-NIL with flexible stamps [4], e.g. PDMS stamps, allows the large-area imprint in a single step and is less-sensitive to the production atmosphere. However, the resolution is normally limited due to stamp distortion caused by imprint pressure.A novel NIL technique developed by Philips Research and Süss MicroTec, substrate conformal imprint lithography (SCIL), bridges the gap between UV-NIL with rigid stamp for best resolution and soft stamp for large-area patterning. Based on a cost-effective upgrade on Süss mask aligner, the capability can be enhanced to nanoimprint with resolution of down to sub-10 nm on an up to 6 inch area without affecting the established conventional optical lithographic processes on the machine. Benefit from the exposure unit on the mask aligners, the SCIL process is now extended with UV-curing option, which can help to improve the throughput dramatically. In this paper, the fabrication of photonic crystal structures with SCIL technique on Süss MA6 mask aligner is demonstrated. In addition, the industrialization considerations of UV-SCIL process in high volume manufacturing are briefly discussed.  相似文献   

7.
The mask fabrication is a critical issue for the development of nanoimprint lithography (NIL) as an effective low-cost mass production technique. In this paper, we present results on the synthesis and the use of low-viscosity hybrid organic/inorganic resists based on polyhedral silsesquioxane cages functionalized with photo-polymerizable aliphatic epoxy groups. These materials are very attractive because they present a high thermal and mechanical resistance (as well as a reduced dielectric constant) and they can be processed by ultraviolet (UV)-assisted NIL. For these reasons, they are good candidates for the fabrication of 2nd generation stamps for both thermal and UV-NIL.  相似文献   

8.
《Microelectronic Engineering》2007,84(5-8):989-993
Because of the non traditional elements involved in both the manufacture of nano imprint lithography (NIL) templates [T. DiBiase, J. Maltabes, B. Reese, M. Ahmadian, SPIE 6151 (2006)] and the resulting features printed on substrates, methods and procedures for effectively locating, tracking and identifying defect mechanisms need to be modified and refined from the traditional methods employed by the semiconductor industry [I. Peterson, G. Thompson, T. DiBiase, S. Ashkenaz, R. Pinto, Yield Management Solutions, KLA-Tencor Spring, (2000)].Since NIL involves pattern structures defined at 1× magnification, there is no defect “forgiveness” such as with conventional 4× optical reduction lithography. In addition, NIL is performed with the patterning tooling (template) in full contact with the casting material (in this case, UV curable monomer) used to define the final features on the substrate of interest. Surface chemistry and substrate interactions quickly become obvious crucial factors in defect formation mechanisms.This article describes a few non-traditional approaches to working with the extreme dynamic range of defect types found in the step and repeat NIL process.  相似文献   

9.
Nanoimprint lithography (NIL) has been flagged as one of the most promising processes for next generation lithography due to its simplicity, low cost, high replication fidelity and relatively high throughput. As a key subsystem, the ultra-precision positioning substrate stage plays a particularly critical role for NIL machines. This paper summarizes firstly various schemes of substrate stages. Subsequently, the issue of actively controlled stages versus passive compliant stages is to be discussed. Furthermore, based on the investigation for numerous ultra-precision positioning stages and considering the practically functional requirements for the step and flash imprint lithography (SFIL) machine, two preliminary schemes to implement the six-degree-of-freedom active control for SFIL stage with multi-step and multi-level functions were proposed. Finally, some useful conclusions are presented. As a result, the objective of the investigation is to offer some proposals and schemes to develop a practical SFIL stepper and further improving the performance of current SFIL machines.  相似文献   

10.
In order to study the dependence of squeeze time on residual layer thickness and feature size and distribution we have experimentally investigated the squeeze time for step and flash imprint lithography for both structured and unstructured templates. It appeared that there are distinct differences between blank and structured templates as far as squeeze timing is concerned. Especially below residual layer thicknesses of 20–25 nm the squeeze time goes up considerably.  相似文献   

11.
Investigation on LIGA-like process based on multilevel imprint lithography   总被引:1,自引:0,他引:1  
A low-cost quasi-LIGA process is proposed, in which, instead of using thick resist technique, micro-structure with large structural height is achieved by multilevel imprinting and through-mask plating. To achieve precise alignment between individual layers, an alignment system based on computer micro-vision is developed and the experimental results show an average overlay accuracy within 1.5 μm with a standard deviation within 0.33 μm. Good adherence of resist on seed layer is achieved by substrate surface oxidation and using a coupling agent, which establishes a chemical bond between substrate surface and the resist layer. The deposit uniformity is improved by electroplating process optimization to enhance the cathode polarization. Through electrolyze etching on previous layer before next level of metal deposition, the fresh metal surface is obtained and the bonding strength between adjacent metal layers is enhanced. With the developed process, tri-layer photoresist and metallic structures with a pattern feature size of 20 μm were successfully fabricated.  相似文献   

12.
We propose a very large-area ultraviolet imprint lithography process as a promising alternative to expensive conventional optical lithography for the production of display panels. This process uses a large-area hard stamp in a low vacuum environment. The hard quartz stamp is used to achieve high overlay accuracy, and the vacuum environment is required to ensure that air bubble defects do not occur during imprinting. We demonstrate that the quartz stamp with microscale patterns can be used for imprinting 18-in. diagonal substrates via single-step UV imprint in a low vacuum environment to obtain a practical residual layer thickness (RLT) for micro pattern transfer to the substrate. Numerical analysis is performed to clarify the physical phenomena underlying imprint process.  相似文献   

13.
A novel method to fabricate double layer microlens array is proposed where the second smaller microlens are imprinted on the first larger microlens by using soft lithography twice. Key step to implement this method is to imprint micron-size structures on convex surface using nano-imprinting technology. It is required to prepare thin polydimethylsiloxane (PDMS) mold for the second soft lithography and thus different thickness of PDMS molds have been tested. It is found that 870 μm thick mold is good for fine duplication and durability. We have successfully fabricated the first microlens hemisphere of 51 μm diameter and the second microlens of 3 μm diameter on top of the first. The double microlens array shows more focused light spot when viewed through optical microscope.  相似文献   

14.
We propose a process combining UV-assisted nanoimprint lithography (NIL) and shadow mask evaporation techniques to fabricate metallic nanoparticles with cavities. A bi-layer transparent soft stamp with a hard top layer containing the high resolution patterns was obtained by spin coating and casting methods of PDMS. Then, it was used to mold the top photo-curable resist on a thick PMMA layer. After removal of the residual NIL resist layer, high density and high aspect ratio PMMA nanopillar arrays were obtained by reactive ion etching. Afterward, a four step evaporation under oblique angle was performed to deposit the gold nanostructures at the top of nanopillars. After lift-off, uniformly sized gold nanocavities were collected. Dark-field microscopy imaging of the fabricated nanocavities shows a clear geometry dependence of the emission peak wavelength, thereby providing a novel types of bio-sensing nano-objects.  相似文献   

15.
《Microelectronic Engineering》2007,84(5-8):973-976
The “demolding” is the important key for UV nanoimprint lithography (UV-NIL) which attracts a lot of attention recently as microfabrication technique. Then, we present in this paper, new fluorinated mold material and new fluorinated photosensitive polymer which are suitable for the UV-NIL because of their high transparency and excellent mold-release characteristics. By using our mold material “F-template”, the process cost can be drastically reduced because it can be used as replicated mold instead of using expensive quartz master mold. F-template requires no releasing agent is another advantage. We also developed photosensitive polymer “NIF-A-1” which has high transparency, good mold-releasing ability and good dry etching resistance. Unlike the common photosensitive polymer, NIF-A-1 did not need a releasing agent on the mold.  相似文献   

16.
A photosensitive composition, consisting of an aromatic azide compound (3,3'-diazidodiphenyl sulfone) and a phenolic resin (poly(p-vinylphenol)), called MRS-1, has been prepared and evaluated as a negative deep UV resist for high resolution lithography. Solubility of MRS-1 in an aqueous alkaline developer decreases upon exposure to deep UV radiation. The alkaline developer removes the unexposed areas of MRS-1 by an etching-type development process. No swelling-induced pattern deformation occurs, and images of submicrometer resolution are obtained. The resist is approximately two orders of magnitude more sensitive than PMMA(polymethyl methacrylate). The exposure time of 5 s is sufficient for deep UV contact printing using a 500-W Xe-Hg lamp. The resistance to dry etching of MRS-1 is comparable to that of conventional positive photoresists based on phenolic resin.  相似文献   

17.
Transparent polymers are considered as alternative low-cost mold materials in UV nanoimprint lithography (UV-NIL). Here, we demonstrate a nanoimprint process with molds made of rigid polymers novel for this application. These polymer molds are found to show high performance in the patterning with UV-NIL. Sub-50 nm structures were fabricated with this process.  相似文献   

18.
A novel liquid photo-polymerization resist was prepared for nanoimprint lithography on transparent flexible plastic substrates. The resist is a mixture of polymethylmethacrylate (PMMA), methylmethacrylate (MMA), methacylic acid (MAA) and two photo-initiators, (2-isopropyl thioxanthone (ITX) and ethyl 4-(dimethylamino)benzoate (EDAB)). The resist can be imprinted at room temperature with a pressure of 0.25 kg/cm2, and then exposed from the transparent substrate side using a broad band UV lamp to obtain nano- and micro-scale patterns. Replications of high-density line and space patterns with resolution of 150 nm were obtained on a flexible indium tin oxide/poly(ethylene terephthalate) (ITO/PET) substrate. The liquid resist has low viscosity due to the liquid monomers, and low shrinkage due to the addition of PMMA as a binder.  相似文献   

19.
The degree to which critical performance parameters are stable against small variations in process parameters is called process latitude. Advanced computer models of UV microlithography are used to study the impact of the notching phenomenon (exposure enhancement near steps in the exposure plane) on process latitude. It is shown that notching effects give rise to a rapid degradation of resist development process latitude  相似文献   

20.
DFB ridge waveguide lasers at 1.55 mu m with uniform second-order gratings defined by deep UV lithography have been realised for the first time. The lasers have been fabricated using gas source molecular beam epitaxial (GSMBE) heterostructures grown in a two-step process. The characteristics of the DFB lasers (28 mA minimum threshold current, single-mode behaviour at output power in excess of 5 mW for more than 80% of the lasers and very low dispersion (+or-0.6 nm) of the lasing wavelength) demonstrate that deep UV lithography can be successfully used for the fabrication of DFB lasers.<>  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号