首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 109 毫秒
1.
直接数字频率合成(DDS)广泛应用于电信与电子仪器领域,是实现设备全数字化的关键技术。基于Altera的现场可编程门阵列(FPGA)核心板DE0-Nano,结合高性能的THS5615A数模转换芯片,完成了DDS的硬件设计与实现。实测结果表明,对于频率范围在0.1 Hz~7.3 MHz的正弦信号,输出信号的频率精确度优于0.5%,移相范围0°~360°,移相误差约为0.5°,且相位以1°任意步进,具有电路简单,输出波形调整灵活以及性价比高等特点。  相似文献   

2.
采用直接数字频率合成技术,设计了一种采用ARM控制以AD9833为核心的信号源,由ARM对输入数据进行处理,进而执行对DDS芯片编程,控制产生所需的频率、相位和波形信号,并由LCD显示各种信息,最后详细分析了该信号发生器的系统结构、软硬件设计和具体实现电路。  相似文献   

3.
基于DDS技术正弦波信号发生器的设计   总被引:4,自引:1,他引:4  
介绍了直接数字频率合成(DDS)的原理,依据其基本原理提出一种基于单片机STC89C52控制直接数字频率合成芯片AD9851产生频率可调的正弦波信号发生器的电路设计。通过键盘输入所需频率值,单片机通过响应键盘中断将其输入频率转换为频率控制字,并将其写入AD9851。AD9851产生的正弦波信号经低通滤波得到纯正的正弦波信号,最后经过功率放大器输出至负载。经实验表明该系统可产生频率在1Hz~10MHz,精度为0.1Hz,峰值为5V的正弦波信号,且易于操纵,输出稳定。  相似文献   

4.
分析了DDS的设计原理,基于VHDL语言进行系统建模,对DDS进行参数设计,实现了可重构的IP核,能够根据需要方便的修改参数以实现器件的通用性。同时利用QuartusⅡ编译平台完成一个具体DDS芯片的设计,详细阐述了基于VHDL编程的DDS设计的方法步骤。  相似文献   

5.
利用CPLD在高速数据处理方面的特点设计出以VHDL硬件描述语言为设计输入,以ALTERA公司的EPM7256芯片为设计载体,基于DDS技术的任意波形信号发生器。该信号发生器能同时输出两路信号,输出信号的频率和两路输出信号之间的相位差可以步进调整。通过Max Plus开发软件的时序分析表明,该设计具有高精度的频率和相位调节能力,相位调整的分辨率为12位,频率调整的分辨率为32位。实测结果表明,所讨论的方法和研制的系统是可行的、有效的。  相似文献   

6.
基于DSP Builder的DDS信号源设计   总被引:1,自引:1,他引:0  
在DDS原理的基础上详细阐述了应用Altera公司推出的DSP Builder和QuartusⅡ软件,采用FPGA实现产生正弦波、三角波和方波的多波形信号源的设计,经验证此设计可行、有效。  相似文献   

7.
将DDS和PLL技术结合起来,采用DDS直接激励PLL的混合频率合成方案完成了X波段微波变频信号源的设计,一定程度上解决了频率分辨率、频率转换速度和相位噪声的问题,并完成了实机研制、系统联调试验和测试。结果表明,输出信号的频谱和相噪特性良好,达到了预期的要求。  相似文献   

8.
为满足航空电子、雷达设备和通信系统等领域相对低相位噪声、稳定工作、高分辨率、快频率转换以及低功耗的通用信号源的需求,提出了一种采用高性能控制器C8051F020控制AD9959频率合成芯片的设计方法和软件设计流程,最终实现了单频点12MHz,48MHz和带宽30MHz的线性调频3路信号输出,并对测试结果进行了分析。测试结果表明,此方案设计的信号源具有频谱纯,相噪低,转换时间快等特点,可满足实际系统的需要。  相似文献   

9.
分析了DDS技术的基本原理和基本结构,介绍了一种基于FPGA的DDS信号发生器设计方法。以FPGA芯片EP2C35F672C8为核心器件,辅以必要的模拟电路,在Quartus II9.0平台下实现系统设计的综合与仿真。实验测试表明该信号发生器输出的波形具有平滑、稳定度高和相位连续等优点,具有一定的工程实践意义。  相似文献   

10.
简单介绍直接数字频率合成(DDS)的结构和原理,以CPLD为核心产生幅度、频率皆精确可调的正交信号源,采用红外键盘使系统更易于控制.  相似文献   

11.
马仔悦  王京梅  孙俊  李莉 《电子科技》2011,24(6):108-111
针对某型磁性材料性能测试仪激励恒流源的具体要求,采用了基于直接数字频率合成技术的信号发生器设计方法,重点研究了由FPGA设计DDS信号发生器的系统设计原理、硬件构成,以及在Quartus开发环境下,采用硬件描述语言Verilog HDL完成信号发生器的累加器、波形存储表、幅度控制及滤波控制功能,并使用Modelsim进...  相似文献   

12.
基于DDS技术正弦信号发生器的设计   总被引:1,自引:1,他引:1  
为了能够方便地产生波形平滑、频率稳定的正弦信号波形,提出了一种基于DDS技术的正弦信号发生器的设计方法。介绍了DDS技术在波形产生功能电路中的应用,并对FPGA实现DDS功能做了具体的说明。介绍了DDS技术的基本原理,论述了基于FPGA实现正弦/余弦信号发生器和32位序列信号发生器的设计方案。最后,实验结果表明:采用该方法设计的正弦波形发生器输出的波形与传统的正弦波形发生器相比,具有波形平滑、波形稳定度高、频率稳定度和分辨率高等诸多优点。  相似文献   

13.
基于FPGA和DDS技术的正弦信号发生器设计   总被引:2,自引:0,他引:2  
该系统由FPGA、单片机控制模块、键盘、LED显示组成,采用直接数字频率合成(DDS),D/A以及实时计算波形值等技术,设计出具有频率设置功能,频率步进为100 Hz,频率范围为1 kHz-10 MHz之间正弦信号发生器。该系统的频率范围宽,步进小,频率精度较高。  相似文献   

14.
王嘉成  于鹏 《电子科技》2013,26(10):128-130,135
介绍了以FPGA为核心器件,采用Verilog HDL作为硬件描述语言的移相信号发生器的设计。该移相信号发生器以DDS模型作为基本原理,利用FPGA的嵌入式存储器块作为波形数据的存储单元,最终通过D/A转换单元可输出正弦波、三角波、方波等任意波形的同频率原始参考信号和移相信号两路波形,除D/A转换器及相关电路外,所有功能电路模块均集中在一片FPGA中实现。与传统移相信号发生器相比,该设计的频率分辨度高、信号频谱良好、易于实现且成本低廉。  相似文献   

15.
针对专用DDS芯片功能单一的缺点,提出了基于FPGA的DDS信号发生器的设计方案。利用Xilinx公司的ISE完成了系统核心部分数控振荡器的设计,其中波形存储器通过调用IP核实现,方便且集成度高。通过功能模块仿真与最终完整电路测试,表明基于FPGA的DDS信号发生器稳定度高,分辨率高以及转换速度快,而且能够输出任意波形的信号。由于FPGA实现软核处理器,因此可以方便地对DDS进行修改与优化,具有无与伦比的灵活性。  相似文献   

16.
基于SOPC的DDS信号发生器设计   总被引:1,自引:1,他引:0  
直接数字频率合成技术是一种新型的信号产生方法,是现代信号源的发展方向。该系统由FPGA控制模块、键盘、LED显示组成,结合DDS的结构和原理,采用SOPC和DDS技术,设计出具有频率设置功能的多波形信号发生器。以Altera公司的CycloneⅡ的核心器件EP2C35为例,NIOSⅡCPU通过读取按键的值,实现任意步进、不同波形的输出显示功能。  相似文献   

17.
DDS技术实现可调信号发生器   总被引:1,自引:1,他引:0  
介绍采用DDS技术、FPGA芯片和D/A转换器,设计一个频率、相位可控的多种输出波形信号发生器。基于QuartusⅡ软件设计实现,并下载至FPGA器件,使用SignalTapⅡ嵌入式逻辑分析仪进行实时测试。经过软件仿真和电路测试,输出波形达到了技术要求,能够满足多种试验的需要,且性能稳定,使用灵活,节约试验成本。  相似文献   

18.
张浪 《电子工程师》2010,36(10):46-48
本文介绍了DDS(直接数字频率合成)的基本原理,对其组成部分进行了理论分析,并在ISE7.1开发平台下,采用VHDL语言进行了DDS行为描述,采用ModelSim软件进行仿真,实现了一个可重载的DDSIP核,能够得到正弦波、三角波、锯齿波和矩形波信号,信号频率可以根据设置任意改变。本文对设计构架和各子模块以及仿真结果均有详细说明。  相似文献   

19.
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计并实现了一个频率、幅值可调的信号发生器,同时阐述了该信号发生器的工作原理、电路结构及设计思路。经过电路调试,输出波形达到技术要求,证明了该信号发生器的有效性和可靠性。  相似文献   

20.
介绍了MSK数字调制方式的原理和DDS芯片AD9854的结构特点,提出用DDS和AD9854来实现MSK调制,详细介绍了FPGA功能模块的软件编程。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号