首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Fabrication of devices and circuits on silicon wafers creates patterns in optical properties, particularly the thermal emissivity and absorptivity, that lead to temperature nonuniformity during rapid thermal processing (RTP) by infrared heating methods. The work reported in this paper compares the effect of emissivity test patterns on wafers heated by two RTP methods: (1) a steadystate furnace or (2) arrays of incandescent lamps. Method I was found to yield reduced temperature variability, attributable to smaller temperature differences between the wafer and heat source. The temperature was determined by monitoring test processes involving either the device side or the reverse side of the wafer. These include electrical activiation of implanted dopants after rapid thermal annealing (RTA) or growth of oxide films by rapid thermal oxidation (RTO). Temperature variation data are compared with a model of radiant heating of patterned wafers in RTP systems.  相似文献   

2.
为指导全新的吸附反应外延技术ARE(Absorption Reaction Epitaxy, ARE)设备红外热源的设计,分析在真空腔室中红外管阵列的热流分布。通过对灯管阵列灯管数量、灯管间距、灯阵与硅片之间距离等设计参数。采用COMSOL Multiphysics软件进行仿真模拟,研究了以红外为热源的设备腔室及硅片温度场分布情况,实测硅片表面温度及均匀性与仿真基本吻合。结果表明在保证源在硅片表面良好扩散效果的同时,当灯管阵列灯管长度为200 mm,数量为11根,间距10 mm,距离硅片15 mm时硅片表面温度不均匀度达到0.683%,满足红外加热吸附反应外延工艺需求,可为ARE红外热源及腔室设计提供参考。  相似文献   

3.
A first-principles approach to the modeling of a rapid thermal processing (RTP) system to obtain temperature uniformity is described. RTP systems are single wafer and typically have a bank of heating lamps which can be individually controlled. Temperature uniformity across a wafer is difficult to obtain in RTP systems. A temperature gradient exists outward from the center of the wafer due to cooling for a uniform heat flux density on the surface of the wafer from the lamps. Experiments have shown that the nonuniform temperature of a wafer in an RTP system can be counteracted by adjusting the relative power of the individual lamps, which alters the heat flux density at the wafer. The model is composed of two components. The first predicts a wafer's temperature profile given the individual lamp powers. The second determines the relative lamp power necessary to achieve uniform temperature everywhere but at the outermost edge of the wafer (cooling at the edge is always present). The model has been verified experimentally by rapid thermal chemical vapor deposition of polycrystalline silicon with a prototype LEISK RTP system. The wafer temperature profile is inferred from the poly-Si thickness. Results showed a temperature uniformity of ±1%, an average absolute temperature variation of 5.5°C, and a worst-case absolute temperature variation of 6.5°C for several wafers processed at different temperatures  相似文献   

4.
A quadratic-optimal iterative learning control (ILC) method has been designed and implemented on an experimental rapid thermal processing system used for fabricating 8-in silicon wafers. The controller was designed to control the wafer temperatures at three separate locations by manipulating the power inputs to three groups of tungsten-halogen lamps. The controller design was done based on a time-varying linear state-space model, which was identified using experimental input-output data obtained at two different temperatures. When initialized with the input profiles produced by multiloop PI controllers, the ILC controller was seen to be capable of improving the control performance significantly with repeating runs. In a series of experiments with wafers on which thermocouples are glued, the ILC controller, over the course of ten runs, gradually steered the wafer temperatures very close to the respective reference trajectories despite significant disturbances and model errors.  相似文献   

5.
The temperature distribution in a MOS transistor caused by power dissipation within the device has been calculated by solving the heat diffusion equation. Using this temperature distribution, IV characteristics of a MOS device as modified by thermal effects are calculated. The predicted behavior matches reported experimental observations; in particular, the negative dynamic resistance seen in the saturation region of devices operating at elevated power densities.  相似文献   

6.
The steady-state temperature distribution and gas flow patterns in a rapid thermal processing system are calculated numerically for various process conditions. The results are verified by comparison to experimental epitaxial growth rate data. The gas flow patterns and temperature distributions depend strongly on pressure and ambient composition. Steady-state uniformity is found to be described to first order by the radiant uniformity at the wafer surface and substrate heat flow considerations alone. For high-thermal-uniformity systems, however, convective cooling does play an important role, approximately equal to that of edge losses  相似文献   

7.
This paper presents a systematic method for estimating the dynamic incident-heat-flux profiles required to achieve thermal uniformity in 12-in silicon wafers during linearly ramped-temperature transient rapid thermal processing using the inverse heat-transfer method. A two-dimensional thermal model and temperature-dependent silicon wafer thermal properties are adopted in this study. The results show that thermal nonuniformities on the wafer surfaces occur during ramped increases in direct proportion to the ramp-up rate. The maximum temperature differences in the present study are 0.835°C, 1.174°C, and 1.516°C, respectively, for linear 100°C/s, 200°C/s, and 300°C/s ramp-up rates. Although a linear ramp-up rate of 300°C/s was used and measurement errors did reach 3.864°C, the surface temperature was maintained within 1.6°C of the center of the wafer surface when the incident-heat-flux profiles were dynamically controlled according to the inverse-method approach. These thermal nonuniformities could be acceptable in rapid thermal processing systems  相似文献   

8.
Defect introduction and process variations commonly observed in conventional rapid thermal processing (RTP) systems have impeded its widespread acceptance in manufacturing. The main problem lies in the conventional approach of using scalar control, where optimal steady-state temperature uniformity at one set of processing conditions is used to fix the hardware geometry, leaving only one input variable-the lamp power-for control. It is demonstrated that this control is inadequate, since the radiative and convective heat exchange at the wafer are functions of the processing conditions, and that the resultant nonuniformity can be corrected by dynamic control of the spatial optical flux profile. Such control is demonstrated through two key innovations: a lamp system in which tungsten-halogen point sources are configured in three concentric rings to provide a circularly symmetric flux profile, and multivariable control whereby each of the three rings is independently and dynamically controlled to provide for control over the spatial flux profile. This approach offers good temperature uniformity over transients, thus improving reliability of individual processes  相似文献   

9.
Using a realistic model of a rapid thermal processing chamber including Navier-Stokes calculations of the gas losses, the stresses and yield strengths of silicon wafers were determined for several linear ramp rates. It was found that the stress to yield strength ratio is a sensitive function of the ramp rate and the radiant uniformity. Radiation patterns that produce good steady-state thermal nonuniformity overheat the wafer edges during heating transients, leading to high stress levels  相似文献   

10.
Rapid thermal processing (RTP) of silicon using transient linearly ramped-temperature saw-toothed and triangular thermal cycles has been evaluated by characterization of the process uniformity and slip dislocation line patterns for a wide range of process parameters. Rapid thermal oxidation was chosen as the process vehicle for these studies. The process uniformity and slip dislocation line patterns are strongly affected by both the transient and steady-state segments of the thermal cycles. The strong dependencies of the process uniformity and slip dislocation lines on the thermal cycle parameters suggest that the overall performance of a RTP reactor must be specified not only under steady-state thermal conditions, but also for controlled transient thermal cycles. Transient ramped-temperature RTP cycles with medium-to-high peak process temperatures (i.e. Tmax=1100°-1150°C) were found to be the optimal process conditions for growing thin gate oxides in the range of 60-120 Å with superior process uniformity and minimum slip dislocation line generation. The results of this work provide insight and useful methodology for process optimization in order to improve process uniformity, minimize generation of slip dislocation lines, and obtain good device electrical characteristics  相似文献   

11.
Silicon on diamond (SOD) is proposed as a superior alternative to conventional silicon on insulator (SOI) technology for silicon-based electronics. In this paper, we present a novel SOD structure in which the active Si layer is in direct contact with a thick, highly oriented diamond (HOD) layer that is directly attached to a heat sink. In contrast to the earlier work,1,2 the diamond film is relatively thick (∼70 μm), free standing, and close to single crystalline, thus possessing much greater thermal conductivity and no limitation of the Si backing wafer. Two different fabrication schemes are investigated: (1) direct growth, where the Si-device layer makes contact with the nucleation side of the diamond layer; and (2) wafer fusion, where the Si device layer makes a direct contact with the diamond growth surface. Thermal evaluation was performed using metallic microheaters. These studies clearly showed more than one order of magnitude better thermal management properties of diamond with respect to Si and SOI.  相似文献   

12.
Transient thermal analysis of sapphire wafers subjected to thermal shocks   总被引:1,自引:0,他引:1  
Rapid heating and cooling are commonly encountered events in integrated circuit processing, which produce thermal shocks and consequent thermal stresses in wafers. The present paper studies the heat transfer in sapphire wafers during a thermal shock as well as the dependence of the wafer temperature on various process parameters. A three-dimensional finite-element model of a single sapphire wafer was developed to analyze the transient heat conduction in conjunction with the heat radiation and heat convection on the wafer surfaces. A silicon wafer was also investigated, for comparison. It was found that the rapid thermal loading leads to a parabolic radial temperature distribution, which induces thermal stresses even if the wafer is not mechanically restrained. The study predicted that for sapphire wafers the maximum furnace temperature of 800 /spl deg/C should be held for two hours in order to get a uniform temperature throughout the wafer.  相似文献   

13.
为了使激光二极管抽运的全固态激光器能够得到高光束质量、高功率的激光输出,对激光介质的温度分布和热透镜效应的研究很重要。利用ZEMAX软件的非序列模块,根据光束追迹的方法模拟了端面抽运结构下,高功率二极管抽运激光放大器的抽运光在增益介质中的光场分布情况。结果表明,此抽运方式下光场分布均匀。将激光介质中吸收的抽运光体功率密度分布结果代入LAS-CAD软件,计算出在种子光未注入和注入情况下,抽运功率为2400W时,增益介质最大温差分别为68℃,54.7℃以及最大热应力分别为90N/mm2,67N/mm2,因此当抽运功率小于2400W,运转的全过程对于激光增益介质是没有威胁的。该模拟结果对于高功率二极管抽运板条激光放大器的设计具有一定参考价值。  相似文献   

14.
The spectra and angular distribution of thermal radiation from semitransparent plane-parallel semiconductor wafers are theoretically and experimentally investigated. It is shown that the spectrum of thermal radiation from these objects is oscillatory due to multiple-beam interference, and the radiation pattern has the lobes. The measurements are performed in the region of free-carrier absorption of n-GaAs and n-Si. It is concluded that the results of this study can be used for calculating new controlled IR-radiation sources.  相似文献   

15.
The removal of surface material in the conventional chemical-mechanical polishing (CMP) process is the result of synergetic effects of two dominant mechanisms: a mechanical process due to the abrasion of particles in the slurry, and a chemical process due to the reactions between the wafer and the chemicals in the slurry. In the overall material removal mechanism, in particular for metal layers, the mechanical and chemical effects are not independent, but are strongly coupled. Many models do not account for these coupling effects and cannot explain the non-Prestonian behavior that occurs when the material removal rate is a nonlinear function of the input areal power density. To address this deficiency and coupling effects, we propose a new integrated thermo-chemical-mechanical model that considers the synergistic effects of both the mechanical and chemical removal processes using the heat transfer mechanism as a bridge between them. In the modeling process, the material removal model is developed based on elastic and plastic contact mechanics and the dominant chemical reactions at the wafer surface. The temperature variation of the CMP system is treated as the coupling factor. The mechanical abrasion by the abrasive particles causes friction, which generates frictional heat on the contacting interfacial area. This heat plays a key role in accelerating the overall chemical reaction for the material removal. We performed a computer simulation with the proposed model using known parameters, and compared the results with other data to ensure its validity.  相似文献   

16.
A method for calculating the emissivity of Si wafers with planar and nonplanar (such as rough or textured) surface morphologies is described. The technique is similar to that used in modeling of light trapping in solar cells and is also applicable to those cases when the wafer may have thin dielectric or metal layers. A software package is developed that uses this method. This package includes an approach for calculating the refractive index and absorption coefficient as a function of wavelength, for various temperatures and dopant concentrations. We present results for a number of cases to demonstrate the applications of this model.  相似文献   

17.
We have performed numerical analysis of the electro- and photoluminescence (PL) of a wafer which would have been used in the manufacture of a multiquantum-well 1.5 μm InGaAsP-InP-based semiconductor laser diode. It is shown that the deviation of the carrier distribution from a quasi-Boltzmann distribution plays a very important role in the interpretation of PL data. This is dramatically illustrated in the analysis of PL under short circuit conditions. Under this condition, the usual analytical theory predicts no PL, while our calculations agree with our experimental results in which PL is observed. For a wafer with electrical contacts, our calculations show that an increase in the positive applied voltage decreases the PL threshold and that the PL intensity saturates at large pump powers. Both these observations are consistent with the PL experiments. Moreover, our analysis shows that, in addition to the PL spreading effect, a non-Boltzmann carrier distribution is another important factor in determining the threshold of PL intensity  相似文献   

18.
Acoustic techniques are used to monitor the temperature of silicon wafers in rapid thermal processing environments from room temperature to 1000°C with ±5°C accuracy. Acoustic transducers are mounted at the bases of the quartz pins that support the silicon wafer during processing. An electrical pulse applied across the transducer generates an extensional mode acoustic wave which is guided by the quartz pins. The extensional mode is converted into Lamb waves (a guided plate mode) in the silicon wafer which acts as a plate waveguide. The Lamb wave propagates across the length of the silicon wafer and is converted back into an extensional mode at the other pin. The extensional mode acoustic wave is detected and the total time of flight is obtained. The time of flight of the extensional mode in the quartz pin is measured using pulse echo techniques and is subtracted from the total time of flight. Because the velocity of Lamb waves in the silicon wafer is systematically affected by temperature, the measurement of the time of flight of the Lamb wave provides the accurate temperature of the silicon wafer. The current implementation provides a ±5°C accuracy at 20 Hz data rate. Further improvements in electronics and acoustics should enable ±1°C measurements. The acoustic temperature sensor (ATS) has several advantages over conventional temperature measurement techniques. Unlike pyrometric measurements, ATS measurements are independent of emissivity of the silicon wafer and will operate down to room temperature. ATS also does not have the contact and contamination problems associated with thermocouples  相似文献   

19.
Single-wavelength pyrometers are most often used to infer wafer temperature in rapid-thermal-processing (RTP) systems. A constant wafer emissivity is assumed with a pyrometer, but a variation in the wafer's surface emissivity can result in an error in the inferred temperature which affects the temperature control of the RTP system. A time-dependent variation is evident in rapid thermal chemical vapor deposition where the emissivity is a function of the film type and thickness. An approach which uses a physically based model of the emissivity variation as part of the feedback control loop is described. The technique employs a first-order model of the emissivity as a function of film thickness from which a projected actual wafer temperature is inferred. The film thickness is approximated using a valid growth-rate expression and temperature as a function of time. These models are then incorporated into the feedback loop of the RTP control system  相似文献   

20.
Ca- and La-modified lead titanate ferroelectric thin films were prepared by a sol–gel method from photosensitive solutions and with an ultraviolet (UV)-assisted rapid thermal processor, including an arrangement of excimer lamps. The diol-based route was used in the preparation of the precursor solutions, whose UV absorption and thermal decomposition were determined. Multiple deposition and crystallization steps of the deposited solution were used to promote preferential orientation.A comparative ferro and piezoelectric study of films prepared by conventional rapid thermal processing at 650°C and films prepared at 550°C with an intermediate step of UV irradiation at 250°C will be presented to assess the value of these films for their use in integrated piezoelectric sensors and microelectromechanical systems.Piezoelectric d33 and e31 coefficients were determined by double-beam laser interferometry and by the direct piezoelectric effect on cantilevers, respectively. The effect of the substrate and processing method on the preferential crystalline orientation of the films and the corresponding piezoelectric properties will be reported. The role of the composition will also be discussed.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号