首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Self-assembled monolayers (SAMs) are investigated as potential Cu diffusion barriers for application in back-end-of-line (BEOL) interconnections. A screening of SAMs derived from molecules with different head group (SiCl3, Si(OCH3)3, Si(OCH3)Cl2) bonding to the dielectric substrate, chain lengths (n = 3-21) and terminal group (CH3, Br, CN, NH2, C5H4N and SH) bonding to the Cu overlayer are compared in terms of inhibition of interfacial Cu diffusion and promotion of Cu-SiO2 adhesion. SAM barrier properties against Cu silicide formation are examined upon annealing from 200 to 400 °C by visual inspection, sheet resistance measurements (Rs) and X-ray Diffraction Spectroscopy (XRD). Cu/SAM/SiO2 adhesion is evaluated by tape test and four-point probe measurements. Results indicate that NH2-SAM derived from 3-aminopropyltrimethoxysilane is the most promising for Cu diffusion barrier application. Silicide formation is inhibited to at least 400 °C, essential stability for BEOL integration. However, the 2.9 Gc (J/m2) adhesion of the layer compared with 3.1 Gc (J/m2) on SiO2 does need improvement.  相似文献   

2.
Ultrathin Ru-Ti alloy, Ru-N and Ru-Ti-N films were fabricated as diffusion barriers to Cu metallization. The thermal stability, phase formation, surface morphology and atomic depth profile of the Cu/Ru-Ti(10 nm)/Si, Cu/Ru-N(10 nm)/Si and Cu/Ru-Ti-N(10 nm)/Si structures after annealing at different temperatures were investigated. Comparing to the single Ru layer, both N doping and Ti alloying improve the thermal stability and diffusion barrier properties to Cu. The Cu on the Ru-Ti layer has better morphology than Cu on the Ru-N layer, while the Ru-Ti-N layer has the best thermal stability and has great potential to be applied as a single layer diffusion barrier.  相似文献   

3.
Reactive ion etching of Ta36Si14N50 diffusion barrier layers was performed in CHF3+O2 plasmas. Etch depths and rates were determined as a function of etch gas composition, cathode power, and etching time. Etching proceeds only after an initial delay which depends on gas composition and cathode power. This delay is attributed to the presence of a native surface oxide which must first be removed before etching can commence. Maximum etch rate was attained at 62.5% O2 concentration, which also corresponds to minimum delay  相似文献   

4.
We have explored the use of sputtered dielectrics, rather than the more conventional thermal or plasma enhanced chemical vapor deposition films, as masks for localized zinc diffusion into GaAs. The masks employed in this study were films of Si02, Si3N4, and A12O3, and part of the routine characterization included measurement of the mechanical stress within the films. Zinc diffusion was carried out for 60 min at 600° C in a “leaky tube” diffusion furnace. In short, it was found that (1) the alumina films did not work as diffusion masks, (2) the build-in stress within the SiO2 and Si3N4 films was dependent upon the thickness of the film (increasing with thickness for SiO2, and diminishing with thickness for Si3N4), and (3) the lateral diffusion of the zinc underneath the mask increased with the stress content of the film, regardless of the mask composition.  相似文献   

5.
《Microelectronics Reliability》2014,54(11):2487-2493
The thermal stability of WTi and WTi(N) as diffusion barriers for Al and Cu metallization on Si (1 0 0) was investigated by time of flight secondary ion mass spectrometry (ToF-SIMS) depth profiling, X-ray diffraction (XRD), electron microscopy (SEM and TEM) and X-ray photoelectron spectroscopy (XPS). For both, Al and Cu, Ti diffusion out of WTi into the metal was proved to occur at elevated temperatures (400 °C for Al and 600 °C for Cu) which further results in barrier film failure. Nitrogen incorporation into WTi leads to an elimination of the Ti diffusion and consequently to a better thermal stability of the barrier film. It is shown that besides crystal structure, Ti diffusion into the metallization is an essential factor of the barrier failure mechanism. The failure temperature for Al is lower than for Cu.  相似文献   

6.
X-ray diffraction is used to assess the texture of narrow lines and study the impact of different sidewall diffusion barrier materials. All the Ta-based barriers developed a strong 〈1 1 1〉 texture in the scaled geometry, with little effect from sidewall growth. Comparisons were made with blanket wafers, demonstrating the pined grain structure in the narrow lines and contrasting change in texture due to re-crystallization in the unconstrained film. Furthermore, patterned lines showed significant anti-symmetric plane distribution influenced by high strains and twinning along the lines.  相似文献   

7.
Nucleation and growth behavior of Cu influence strongly the macroscopic properties of the resultant films. In this work the nucleation of CVD Cu on different underlayer materials is studied. It is found that nucleation on bare diffusion barrier surfaces leads to island growth and, therefore, bad wetting and adhesion. An enrichment of F, O and carbon was found at the interface between the CVD Cu film and the diffusion barrier. However CVD Cu deposited on top of Ta with a 200-Å PVD Cu layer on top results in good wetting. CVD Cu films grown on a PVD Cu layer expose a highly preferred 111 orientation. In this case SIMS analysis reveals a comparably low concentration of oxygen, carbon and flourine at the interface region between the CVD Cu and the barrier. These observations shed light on relevance of surface conditions for the CVD Cu deposition process. They significantly affect both film adhesion and crystal orientation, which are crucial for the use of CVD Cu as interconnect material.  相似文献   

8.
The Monte Carlo Potts model with n-fold method was used to simulate grain structure evolution in thin Cu films according to energetic competition principles. Surface/interface, grain boundary, and strain energy factors were applied to determine grain growth and crystallographic texture evolution as a function of film thickness. Furthermore, annealing twins were simulated through specific criteria that arbitrarily insert twin grains into the structure through grain boundary energy considerations. Four different types of microstructures were observed experimentally and simulated by the Monte Carlo technique.  相似文献   

9.
Au and Cu impurity effects on the capacitance-voltage (CV) relationship of Pt-n type Si Schottky barrier diodes have been investigated over the frequency range of 100 Hz to 500 kHz at 200°K and 300°K. The barrier height of the Pt-Si system measured by CV, IV, and photothreshold techniques was 0.83±0.01 eV. Deep level CV effects previously predicted by Roberts and Crowell were observed. Diodes on phosphorus-doped Si nearly compensated with Au clearly exhibited a non-monotonic low frequency CV relationship. An inflection point in the C?2-V curve attributable to the Au donor level 0.77 eV from the conduction band edge was observed. The experimental data show that the presence of deep levels makes barrier height measurements appreciably ambiguous and that impurity profiles determined from a CV relationship using a model which neglects their presence can be shifted appreciably both in apparent magnitude and apparent position.  相似文献   

10.
Plasma immersion ion implantation (PIII) technique was employed to form Tantalum nitride diffusion barrier films for copper metallization on silicon. Tantalum coated silicon wafers were implanted with nitrogen at two different doses. A copper layer was deposited on the samples to produce Cu/Ta(N)/Si structure. Samples were heated at various temperatures in nitrogen ambient. Effect of nitrogen dose on the properties of the barrier metal was investigated by sheet resistance, X-ray diffraction and scanning electron microscopy measurements. High dose nitrogen implanted tantalum layer was found to inhibit the diffusion of copper up to 700 °C.  相似文献   

11.
The role of composition on the resistivity and thermal stability of reactively sputtered Ta-Si-N films have been studied using x-ray diffraction, Rutherford backscattering spectrometry, and sheet resistance measurement. Films with higher silicon to tantalum ratio were found to be more thermally stable and have higher sheet resistance than films with lower Si to Ta ratio. While Ta0.28Si0.07N0.65 starts to crystallize at about 900°C, for example, Ta0.24Si0.10N0.66, and Ta0.24Si0.12N0.64 remained amorphous and thermally stable for heat treatment up to 1100°C. In-situ sheet resistance measurement showed that the resistivity of the alloys varies with composition and decreases with temperature; films with higher Ta/Si ratio have lower resistivity. The resistivity of the films, at 30°C, was about 675 Θ-cm, 285 Θ-cm, and 135 Θ-cm and decreased to 61.5 Θ-cm, 22.5 Θ-cm, and 19.5 Θ-cm at 480°C for Ta0.24Si0.12N0.64, Ta0.24Si0.10N0.66, and Ta0.28Si0.07N0.651 in that order. Our results indicate that the composition of Ta-Si-N films could be manipulated to obtain low resistivity films that could be used in device applications.  相似文献   

12.
Three-dimensional (3D) simulation of combined lattice and grain-boundary diffusion of impurities in thin-film diffusion barriers for eemiconductor device metallizations is performed. Calculated results of impurity concentration profiles demonstrate quantitatively an obvious underestimation of the frequently used two-dimensional (2D) analysis with respect to the influence of film geometry and grain-boundary diffusion coefficient. As for the average concentration at the backside of diffusion barriers, approximately a factor of two difference between the 2D and 3D simulation results is found over an interesting range of times and grain size structures. Graphs for predicting the effectiveness of diffusion barriers are presented with several normalized parameters associated with position and time. Particular application examples of aluminum diffusion in titanium nitride films justify the use of this material as an effective diffusion barrier in silicon microelectronic devices.  相似文献   

13.
重点研究了利用偏轴射频溅射的方法在Si衬底上生长YSZ(Y稳定的ZrO2)缓冲层及Bi-Sr-Ca-Cu-O超导薄膜的工艺,获得了82K的超导转变温度(Ton)。利用扫描原子显微镜和原子力显微镜对不同条件下生长的YSZ和BSCCO薄膜进行了观察,提出了YSZ晶粒填补Si衬底上针孔的新功能,并验证了BSCCO薄膜的螺旋柱状生长机理。  相似文献   

14.
Cu diffusion onto an Ag-plated Cu leadframe surface was detected by Energy Dispersive X-ray (EDX) after de-capsulating the molded package. However, no Cu was detected by EDX on the Ag surfaces of bare leadframe, leadframe after die attachment including die attachment curing, or leadframe after wire bonding. Temperature simulation of molding and post-molding curing show that the temperature and its duration have some impact on the Cu diffusion, which is as expected. Interestingly, this study shows that the Cu diffusion onto the interface of the Ag-plated surface and mold compound is very much dependent on the type of mold compound used, which has never been reported in the literature so far. The Cu diffusion was detected by EDX only for certain types of mold compounds used. It is concluded that the mold compound is a major contributor to the Cu diffusion observed. This is possibly because S and O in the mold compound react with Cu and form copper sulfides and copper oxides, which accelerate the Cu diffusion from the Cu leadframe substrate onto the interface of the Ag surface and mold compound.  相似文献   

15.
The thermal stability of Ti and Ti/Al thin barrier layers for Cu metallizations of surface acoustic wave (SAW) devices has been investigated by resistance measurements and analytical transmission electron microscopy (TEM) using energy dispersive analysis (EDX), energy filtered analysis (EFTEM) within a temperature range between RT and 300 °C. Due to the strong increase of the sheet resistance of the sample containing the Ti/Al-barrier, structural changes in the Al layer lead to a failure at 300 °C, whereas the other sample containing Ti only as a barrier layer did not show any obvious structural changes.  相似文献   

16.
王德煌  郭良 《半导体学报》1990,11(10):804-807
本文报道在氮氧混合气体中用射频反应溅射法在硅表面成功淀积氮氧铝膜的实验研究结果。给出膜淀积工艺、膜的原子组元和浓度、含有不同氧原子浓度的膜的折射率和击穿电场强度以及膜的X-光衍射谱。  相似文献   

17.
Ta-Si-N thin films were fabricated by using reactive magnetron cosputtering at different Si/Ta power ratios and nitrogen (N2) to total gas (Ar + N2) flow ratios (FN2% = FN2/(FAr + FN2) × 100%). Both levels of high-vacuum furnace annealing (FA) and low vacuum rapid thermal annealing (RTA) were performed to investigate the thermal stability of films. The microstructure, morphology and electrical property of the Ta-Si-N thin films were characterized by grazing incidence X-ray diffraction, scanning electron microscope and four-point probe method, respectively. Ta-Si-N thin films at low FN2% could endure temperature up to 900 °C for 1 h under high-vacuum FA at 6.5 × 10−3 Pa while their phase and morphology had changed under RTA at 750-900 °C for 1 min at 2.6 Pa. The resistivity increased with increasing both FN2% and Si/Ta power ratios. However, the variation percentage of resistivity of Ta-Si-N films at high-temperature annealing decreased with increasing Si/Ta power ratio and inversely increased with increasing FN2%. In brief, the thermal stability of Ta-Si-N films increased with increasing level of vacuum and Si/Ta power ratio. Increasing FN2% and Si/Ta power ratio could enhance the thermal stability of films at RTA but also increased the resisitivity of films. Therefore, Ta-Si-N films prepared at 2 FN2% and Si/Ta power ratio of 2/1 can be a good candidate for the application of diffusion barrier with low resistivity, low variation percentage and high stability of microstructure.  相似文献   

18.
The thermal annealing behavior of Cu films containing insoluble 2.0 at. % Mo magnetron co-sputtered on Si substrates is discussed in the present study. The Cu-Mo films were vacuum annealed at temperatures ranging from 200°C to 800°C. X-ray diffraction (XRD) and scanning electron microscopy (SEM) observations have shown that Cu4Si was formed at 530°C, whereas pure Cu film exhibited Cu4Si growth at 400°C. Twins are observed in focused ion beam (FIB) images of as-deposited and 400°C annealed, pure Cu film, and these twins result from the intrinsically low stacking-fault energy. Twins appearing in pure Cu film may offer an extra diffusion channel during annealing for copper silicide formation. In Cu-Mo films, the shallow diffusion profiles for Cu into Si were observed through secondary ion mass spectroscopy (SIMS) analysis. Higher activation energy obtained through differential scanning calorimetry (DSC) analysis for the formation of copper silicide further confirms the beneficial effect of Mo on the thermal stability of Cu film.  相似文献   

19.
The interfacial microstructure and the kinetics of interfacial reaction between the eutectic Sn-Pb solder and electroplated Ni/Pd on Cu substrate (Cu/Ni/NiPd/Ni/Pd) were studied both in the liquid- and solid-state of the solder. The liquidstate reaction was carried out at 200°C, 225°C, and 250°C for 30 s, 60 s, 150 s, and 300 s at each temperature. The solid-state aging was carried out at 125°C for up to 43 days. The interfacial microstructure was characterized by imaging and energy dispersive x-ray analysis in scanning electron microscope. Depending on the thickness of the Pd-layer, both PdSn4 and PdSn3 phases were observed near the solder-substrate interface. These results were correlated with the initial thickness of the Pd-layer and the diffusion path in the calculated Pd-Pb-Sn isothermal sections. For the aforementioned isothermal reactions, only one Ni-bearing intermetallic (Ni3Sn4) was observed at the solder-substrate interface. The presence of Ni3Sn4 intermetallic was consistent with the expected diffusion path based on the calculated Ni-Pb-Sn isothermal sections. Selective etching of solder revealed that PdSn4 and PdSn3 had a faceted rod morphology, and Ni3Sn4 had a faceted scallop morphology which gave rise to rugged Ni3Sn4-solder interface. Segregation of Pb on the facets of PdSn4 and PdSn3 was also observed. The growth kinetics of the Ni3Sn4 intermetallic layer at the solder-substrate interface was analyzed using an Arrhenius-type of equation. In the thickness regime of 0.16 to 1.2 μm, the growth kinetics yielded a time exponent n=3.1, an apparent activation energy of 9260 J/mol both in the liquid- and solid-state of the solder, and a temperature dependent pre-exponential factor. The latter was attributed to the presence of one or more phases ahead of the growing layer.  相似文献   

20.
The performance of interconnects containing micro- (pore size smaller than 2 nm) and meso-porous (pore size larger than 2 nm) interlevel dielectrics is influenced by material selection, integration scheme and virtually all fabrication steps. It is generally reported that the reliability margin of the dielectric/barrier/copper system is shrinking. Barrier and dielectric integrity play a most important role in line-to-line leakage and Time Dependent Dielectric Breakdown (TDDB) reliability. TDDB has never been an issue for Cu-SiO2 interconnects, but for sub-100 nm copper/barrier/low-k systems it becomes challenging. When monitoring the integrated dielectric properties early failures can be caused by weak integration interfaces, dielectric damage during the integration, defective diffusion barrier or other non-uniformities related to the damascene process. Recent advances are reviewed along with examples and reference to state of the art.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号