首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 62 毫秒
1.
在DSPBuilder的基础上,系统采用DDS技术的调制方式对系统进行设计和仿真,经过验证之后,该系统具备多模式的信号调制功能,而且扩展性比较好,灵活性也很强。最终的实现方法是通过采取FPGA来完成,在进行硬件验证时,采取的是嵌入式逻辑分析仪设备。  相似文献   

2.
基于DSP Builder的AM信号发生器的设计   总被引:1,自引:0,他引:1  
肖炎根 《电子技术》2010,47(3):38-39
系统采用DDS技术,利用Matlab/DSP Builder建立AM信号发生器模型,并在DSP Builder平台上完成系统的编译与仿真,经验证该系统可以实现调幅功能。最后用ALTERA公司的cyclone系列的FPGA芯片EP2C35F484C6实现AM信号发生器。  相似文献   

3.
DDS原理与AD9852 的结构基本的DDS是在高速存储器中放入正弦函数-相位数据表格,经过查表操作,将读出的数据送到高速 DAC产生正弦波。常用的可编程 DDS系统如图1所示。 DDS系统由频率控制字、相位累加器、正弦查询表、D/A转换器和低通滤波器组成。参考时钟一般为高稳定度的晶体振荡器,其输出用于同步DDS各组成部分的工作。  相似文献   

4.
《无线电工程》2016,(10):38-42
BOC调制信号应用在卫星导航系统中有很多优势,其具有良好的抗多径、抗干扰性能和很高的研究价值。针对目前国内没有功能完善的BOC调制信号发生器,无法深入展开BOC调制信号相关研究的现状,开展了BOC调制信号发生器的研究并设计了一种基于FPGA的BOC调制信号发生器。详细介绍了BOC调制信号发生器的系统组成,各个模块的实现方式,指出了实现的关键技术。使用频谱仪对BOC调制信号发生器进行了测试,验证了其产生BOC调制信号的正确性。  相似文献   

5.
基于DSP的正弦信号发生器设计   总被引:1,自引:0,他引:1  
介绍了一种用TMS320LF2407 DSP来实现正弦信号发生器的设计原理和实现方法,给出了此信号发生器的硬件电路结构和软件流程图。该信号发生器的正弦信号幅值、电压和频率均可通过DSP内的程序来控制,而且使用方便。相对于传统信号发生器具有更好的灵活度和更好的性能。  相似文献   

6.
基于DSP正弦信号发生器设计   总被引:2,自引:0,他引:2  
提出了一种基于TMS320C5402实现正弦信号发生器的设计原理与方法,介绍了所设计的正弦信号发生器硬件电路结构和软件程序流程图。结合DSP硬件特性,通过使用泰勒级数展开法得到设定参数的正弦波形输出,达到设计目的。该信号发生器弥补了通常信号发生器模式固定,波形不可编程的缺点,其具有实时性强,波形精度高,可方便调节频率和幅度、稳定性好等优点。  相似文献   

7.
基于Matlab/DSP Builder任意波形信号发生器的两种设计   总被引:1,自引:1,他引:0  
根据传统型任意波形信号发生器和基于DDS任意波形信号发生器的设计原理,采用Matlab/DSP Builder的建模方法,在DSPBuilder平台上完成两种原理的系统建模和仿真,并用Signal Compiler工具对模型进行编译,产生Quartus Ⅱ能够识别的VHDL源程序,并通过FPGA芯片EP2C8Q208c来实现,最后用SignalTapⅡ进行硬件测试。经系统仿真和硬件测试,证明两种设计方法的正确性。比较传统的硬件描述语言建模,该方法设计简单、修改方便、成本低、不涉及到任何编程,对硬件理论知识要求不高,实现起来容易。  相似文献   

8.
《现代电子技术》2017,(18):75-78
设计远程通信信号发生器实现通信信号的采集、滤波、检波、放大、调制与接收,提出基于嵌入式技术的远程通信信号发生器设计方案。信号发生器主要包括了通信基阵模块、收发转换电路模块、通信信号滤波及检波电路模块、信号放大处理模块、信号调制解调模块以及接收机设计等。采用嵌入式控制器PXI-8155对通信信号发生器的各模块进行串口、并口及GPIB接口的总线设计,实现远程通信信号发生器的嵌入式集成总线优化设计。对信号发生器进行通信信号传输处理分析,实验结果表明,该远程通信信号发生器能有效提高信号的稳定准确传输能力,降低通信信号传输的误比特率。  相似文献   

9.
基于AD9851的正弦信号发生器设计   总被引:1,自引:0,他引:1  
基于直接数字频率合成(DDS)原理,采用AD9851型DDS器件设计一个正弦信号发生器.实现50 Hz~15 MHz范围内的正弦波输出,同时通过对器件的控制编程与相关的简单外部电路切换产生各种调制信号.通过自动增益控制(AGC)和功率放大,在50 Ω负载的情况下,该正弦信号发生器在100 Hz~10 MHz范围内输出稳定正弦波,电压峰峰值为0~5 V±0.3 V.  相似文献   

10.
基于直接数字频率合成(DDS)原理,采用AD9851型DDS器件设计一个正弦信号发生器,实现50Hz-15MHz范围内的正弦波输出,同时通过对器件的控制编程与相关的简单外部电路切换产生各种调制信号。通过自动增益控制(AGC)和功率放大,在50Q负载的情况下,该正弦信号发生器在100Hz~10MHz范围内输出稳定正弦波,电压峰峰值为0—5V±0.3V。  相似文献   

11.
董亮  汪敏  郝龙飞  董江 《现代电子技术》2009,32(16):92-94,99
设计分析了Chirp函数在时域和频域内的一般特点和解析公式.提出首先在Altera DSP开发工具DSP Builder中实现直接数字合成器(DDS)模块,根据Chirp函数特定的输入/输出(线性和非线性)关系,计算出当前输入字与输出频率的对应关系;然后设计控制字子模块产生DDS模块的频率控制字,驱动DDS产生不同的输出频率,通过在Matlab的Simulink环境下的仿真验证,得出不同时刻输出的频谱图,验证了该设计能很好地实现Chirp信号源.  相似文献   

12.
基于DSP Builder的BPSK调制解调器设计   总被引:1,自引:0,他引:1  
王成元  徐慨  冯延青 《通信技术》2010,43(5):67-68,71
根据BPSK调制解调器的基本理论,采用DDS(直接数字合成)技术在不同频率信号的切换时能保持相位连续的优点来设计BPSK调制模型,用Matlab/Simulink下的Altera DSP Builder工具箱内的模块对直接数字频率合成器DDS(Direct Digital Synthesizer)进行建模;并基于该DDS模块实现BPSK(二进制相移键控)调制器和解调器的设计,在Simulink下对此模型进行仿真,验证了模型的正确性。此设计简化了系统的设计过程,提高了BPSK调制解调系统的可靠性与灵活性,而且修改方便、成本低,对硬件理论知识要求不高,实现起来容易,加快了开发速度。  相似文献   

13.
利用混沌系统进行保密通信的研究已成为国内外混沌理论研究的重要环节。此研究不仅局限在理论研究与仿真实验上,而构造混沌保密通信系统也已成为重要研究方向之一。设计混沌保密通信系统,数字微分器是重要环节。本文主要论述了用DSP Builder软件工具设计数字微分器的过程。同时将设计的微分器模块封装为独立的模块,并对这个封装后的模块进行了大量的实验,用实验结果验证了设计方法的有效性与实用性。  相似文献   

14.
基于DSP Builder的MSK调制解调系统设计   总被引:1,自引:0,他引:1  
阐述了DDS(直接频率合成)的基本理论,并对其理论实现做了详尽的理论分析。在此基础上介绍了采用DDS进行MSK(最小频移键控)数字调制的一般方法,还讨论了差分解调的一般理论,推导出了相关理论结果。最后介绍了采用美国Altera公司推出的快速FPGA开发环境DSPBuilder系统设计工具进行数字系统设计的一般方法,并采用此方法在FPGA芯片上实现了MSK数字调制解调系统。  相似文献   

15.
基于DSPBuilder的DDS设计与实现   总被引:1,自引:0,他引:1  
DDS技术应用广泛,设计和实现DDS的方法有多种,随着EDA技术和FPGA器件的发展,应用FPGA实现DDS具有灵活性好、价格较低、研制周期短等优点。DSP Builder是Altera公司的系统级DSP开发软件,应用DSP Builder设计DDS,可根据DDS原理实现模块化设计,使设计更为直观和简化,结合Matlab软件的设计与调试功能,使系统仿真更为简便。将设计下载到硬件中运行,测试结果表明,应用DSP Builder设计DDS方案切实可行,输出波形频率范围较宽,波形稳定度和分辨率较高。  相似文献   

16.
为了克服模拟电路分数阶混沌系统设计易受外界条件影响,提出了一种基于DSP Builder设计分数阶混沌系统的方法.以分数阶Jerk系统为例,采用一种数字差分算法设计混沌系统,分析了分数阶混沌系统的动力学特性.仿真结果表明,分数阶混沌系统的DSP Builder设计方法是一种有效的分析方法,这为分数阶混沌系统的数字设计提供了新的思路.  相似文献   

17.
直接数字合成器(DDS)具有较高的频率分辨率,可以实现频率快速切换,并且在频率改变时能保持相位的连续,很容易实现频率、相位和幅度的数字调制。从DDS的原理出发,介绍了一种基于DSP Builder查找表结构的DDS设计,并通过QuartusⅡ完成对FPGA器件的配置下载过程。可编程逻辑器件具有器件规模大、工作速度快及可编程的硬件特点,非常适合用来实现DDS。  相似文献   

18.
基于DSP Builder的14阶FIR滤波器的设计   总被引:1,自引:0,他引:1  
张淼  伏云昌 《现代电子技术》2007,30(21):185-186
数字滤波器在数字信号处理的各种应用中有着广泛的应用。数字滤波器既可以是有限长单脉冲响应(FIR)滤波器也可以是无限长单脉冲响应(IIR)滤波器。通过两者特点的比较,按照Matlab/Simulink/DSP Builder/QuartusⅡ的流程,设计了一个14阶的FIR滤波器,并进行了相关仿真,提出了一种在实际硬件中测试的方法,从而验证了采用DSP Builder实现滤波器设计的硬件化的独特优势。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号