首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 265 毫秒
1.
本文介绍了一种基于ARM微处理器S3C44B0X和CPLD的分立器件测试主机系统总线控制器,此控制器用在基于总线架构的半导体分立器件测试系统中。在分析了半导体分立器件测试系统的组成、S3C44B0X的总线特点及测试系统对测试主机系统总线性能要求后,通过CPLD的内部逻辑设计和相应的总线操作程序,完成了测试主机系统总线控制器的设计。  相似文献   

2.
介绍一种基于CPLD的多普勒声纳回波信号仿真卡的设计实现,该板卡作为PXI测试系统的一个组成模块,数据交换基于PXI总线,使用S5920实现总线控制,利用CPLD实现板卡的时序逻辑控制,外围电路包括信号调理与匹配电路、DDS电路、A/D采集电路、高速FIFO存储电路、D/A转换电路等。重点介绍了CPLD内部功能模块的实现。该设计已成功应用于多普勒声纳的PXI测试系统中。  相似文献   

3.
针对现有俄式ГOCT18977总线的实现方式中传输速率不兼容的问题,设计并实现了一种基于CPLD的ГOCT18977总线收发系统.该系统利用CPLD进行分频,可兼容不同的总线传输速率;并通过CPLD实现系统的逻辑设计与收发控制,能够实时完成总线数据的发送、接收、显示和存储功能.  相似文献   

4.
针对现有俄式ГОСТ18977总线的实现方式中传输速率不兼容的问题,设计并实现了一种基于CPLD的ГОСТ18977总线收发系统。该系统利用CPLD进行分频,可兼容不同的总线传输速率;并通过CPLD实现系统的逻辑设计与收发控制,能够实时完成总线数据的发送、接收、显示和存储功能。  相似文献   

5.
鉴于目前通信端口的多样性,并且要实现对各种通信端口的功能测试、性能测试、误码率测试,提出一种基于C8051F020单片机与CPLD的多通信测试系统的设计方法。此方法主要是利用单片机的地址总线、数据总线和控制总线向外扩展,来实现单片机与CPLD对一些电子设备进行多通信资源的功能测试、性能测试、误码率测试。利用C8051F020和CPLD设计了4路RS485/RS422、1路RS485和1路RS232通信测试资源;此系统不仅提供了多路通信资源的测试,同时用户可根据自己通信测试的需要,灵活地选择自己所需的通信测试资源。  相似文献   

6.
针对多路模拟开关在自动测试设备等领域的广泛应用,介绍了一种可程控多路切换开关的硬件设计;采用低漏电流高压MOS电子开关作为开关元件,通过PC104总线实现上位机与系统之间指令和数据的传输,以CPLD为主控制模块,对CPLD功能模块划分,分别实现总线接口和开关逻辑控制功能;最后系统硬件板经过调试和测试,结果表明系统具有导通电阻小、关断电阻大、切换速率快等优点。  相似文献   

7.
搭建一种低成本的嵌入式视觉系统,系统由CMOS图像传感器、CPLD、ARM7微处理器以及SRAM构成。其中,CPLD识别时序,解决了图像采集系统存在的严格时序同步和双CPU共享一片SRAM的总线竞争问题;用Verilog语言编写Mealy状态机控制图像数据写入SRAM,多路数据选择器实现总线切换,避免了总线冲突。图像处理算法注重效率,基于ARM实现,系统最终工作速率为25帧/s。  相似文献   

8.
针对船舶燃机监控系统的CAN总线数据通信需求,设计了一种多通道CAN总线通讯模块。该方案采用M模块标准设计,使用4片独立的CAN控制器和CAN隔离收发器实现CAN节点电路、4通道CAN通讯控制和信号电气隔离。通过可编程逻辑器件CPLD以及硬件描述语言VerilogHDL,实现了M模块接口和4通道CAN总线接口的转换;在硬件设计的基础上,进行了CAN总线通信程序设计。经测试和应用结果表明,本模块能够实现4通道CAN总线大量数据通信,满足了设计需求,具有很好的应用前景。  相似文献   

9.
分析了SGPIO总线的数据传输机制,用CPLD模拟SGPIO总线协议来实现并行数据的串行传输,并将其与串并数据转换集成芯片进行对比,说明了前者的应用优势,并且指出了其应用场合.采用Lattice Diamond IDE进行了Verilog HDL代码编写和综合,并用ModelSim进行时序仿真,最终下载到CPLD器件进行测试.结果证明了采用CPLD实现SGPIO总线协议的可行性以及将其应用到板级之间数据通信的优越性.  相似文献   

10.
在VXI测试系统中,VXI总线连续采集模块对测试系统实时性能的提高具有重要意义.介绍了VXI总线A24/D16并行A/D连续数据采集模块设计方法.该方法采用CPLD实现了通用VXI总线A24/D16寄存器基接口电路、控制电路、中断电路.最终实现并行AID连续数据采集及存储.  相似文献   

11.
根据429总线接口板的研究现状,提出了一种新的429接口板的研究方案,即基于PC104总线的两发四收429接口板.由于PC104板卡存在可利用空间小的特点,采用高集成度的复杂可编程逻辑器件CPLD实现与429总线的收发电路和与PC104总线之间的数据通信.CPLD的集成度高,可有效节省硬件资源,并且与专用集成电路相比,具有设计开发周期短、设计制造成本低、开发工具先进、测试简单和质量稳定等优点;与微处理器相比,具有处理速度快的优点,因此可以很好地提高该429接口板的性能.通过设计和实验该板卡可以正常稳定工作.  相似文献   

12.
对基于PXI总线的A/D数据采集电路进行了结构划分、设计和实现。该数据采集模块主要通过PCI9052桥芯片将ISA插卡信号移植到CPCI总线上,作为PXI测试系统的一个功能模块,通过CPLD实时响应零槽控制器发出的触发信号。  相似文献   

13.
袁朝辉  朱伟  徐鹏 《微处理机》2005,26(4):79-81,85
本文介绍了一种使用VHDL语言设计、在一片EMP7128S160 CPLD芯片上实现的总线桥。该总线桥是某型协调控制器系统的通讯核心,实现了系统下层的背板总线、背板总线管理器与上位机EPP并口之间的协议转换以及通讯仲裁功能。该桥为系统下层提供了高速的实时数据传输通道,也为上位机访问下层系统提供了完全的访问通道,使整套控制器兼具高性能、易调试两大特点。  相似文献   

14.
介绍了一种基于AVR单片机的大容量采集存储测试系统。详细介绍了测试系统的工作流程、器件的选择、测试系统的软硬件电路设计等。测试系统使用AVR单片机和复杂可编程逻辑器件(CPLD)对大容量闪存进行控制,充分利用了CPLD的高速和微控单元(MCU)控制灵活的特点,实现了对闪存的读、写、擦操作以及与上位机通信的控制。采用大容量的闪存芯片存储数据,使得测试系统在突然失去电源的情况下依然能够保持测试所得的数据,以便于回收后准确的显示所记录的数据以供分析,实现了代码和数据的固态存储。  相似文献   

15.
The paper discusses a new kind of driving the LCD via I/O bus using CPLD and realizing the precise I/O control timing sequence by establishing the corresponding Verilog-HDL model. The results of application show that this solution can not only solve the matching of low-speed device with high-speed bus but also provide the display in-terface during the motherboard debugging process, and also prove that the whole system is reliable and stable.  相似文献   

16.
基于SOPC的边界扫描测试控制器IP核设计   总被引:2,自引:1,他引:1  
在研究边界扫描数字电路测试技术标准IEEE1149.1的基础上,采用SOPC设计技术,用FPGA设计实现了一款基于Avalon总线的边界扫描测试总线控制IP核,与其它复用IP核可形成以NIOS Ⅱ处理器为核心的通用数字电路边界扫描测试控制器,该控制器产生符合IEEE1149.1标准的测试信号控制被测边界扫描系统,进行各种边界扫描测试;该IP核的成功设计,为基于边界扫描的电子系统机内自测试系统的实现,奠定了坚实的应用基础。  相似文献   

17.
提出了一种基于通用串行总线(USB)的阵列声波测井数据采集系统,该采集系统的构成模块包括模拟信号处理、高速数据采集子系统、采集控制器、串行数据和控制接口以及主机接口等;以CPLD担当数据采集控制器,采集深度、速率等参数可根据实际需要动态设置,从驱动程序初始化和卸载、设备启动、设备控制和数据读写等方面详细分析了用于数据采集系统的USB驱动程序设计,基于14位ADC的采集电路实测信噪比不小于65dB;该采集系统可用于井下阵列声波测井仪调试,以及实验室声波换能器性能测试。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号