首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 46 毫秒
1.
基于VHDL语言的A/D采样控制器设计   总被引:2,自引:0,他引:2  
罗朝霞 《现代电子技术》2005,28(12):96-97,100
介绍了逐次逼近型模数转换芯片ADC0809的组成、工作原理和如何利用VHDL硬件描述语言中状态机的设计方法设计ADC0809采样控制电路,同时给出采样控制电路在Max PlusⅡ集成开发软件环境下的功能仿真波形,并通过下载电统用设计生成的编程目标文件(.pof)配置Altera公司的CPLD器件EPM7064SLC44—6来实现A/D采样控制器。实践证明设计的电路能够稳定、可靠的工作。  相似文献   

2.
VHDL语言在数字电路中的设计与应用   总被引:3,自引:1,他引:2  
根据教学实残,介绍了VHDL硬件描述语言进行工程设计的优点。他既是一种与实际技术相独立的语言,不束缚于某一特定的模拟程序或数字装王上,也不把设计方法强加于设计者,他允许设计者在其使用范围内选择工艺和方法,描述能力极强,覆盖了逻辑设计的诸多领域和层次,并支持众多的硬件模型;也是一种在数字电路教学中全新的理论联系实际的教学方法和全新的培养学生实际动手能力的有效工具。同时简要地说明VHDL硬件描述语言的支撑软件Max PlusⅡ。并结合实例详细阐明VHDL语言在Max PlusⅡ软件的环境下对数字电路的设计、应用方法及使用时需注意的几个方面事项。  相似文献   

3.
硬件描述语言已经成为当今电子设计自动化(EDA)解决方案的核心。本文用VHDL语言,以Max+PlusⅡ为工具,用模块化的方法,设计实现一个正弦函数功能的计算电路,并通过仿真实现了预定功能。具体实现包括去抖动模块、输入信号标准化模块、编码模块、输入信号保存电路模块、译码模块、ROM模块、正弦值保存电路模块等模块。详细介绍了系统各个模块的实现,并给出了部分VHDL程序。结果表明,VHDL语言在数字电子电路的设计中具有硬件描述能力强、设计方案灵活等优点。  相似文献   

4.
基于VHDL的可变速彩灯控制器的设计   总被引:1,自引:0,他引:1  
介绍一种基于VHDL的可变速彩灯控制器的设计方案,该系统无需外加输入信号,只需一个时钟信号就能实现以4种不同速度循环演示8种花型。该系统较以前的传统设计具有硬件电路简单、体积小、功耗低、可靠性高等特点,特别是可以在不修改硬件电路的基础上,仅通过更改软件就能实现任意修改花型的编程控制方案,而且设计非常方便,设计的电路保密性强。  相似文献   

5.
2FSK信号产生器的FPGA设计   总被引:8,自引:1,他引:7  
徐慧  徐锋 《现代电子技术》2005,28(22):60-61
在EDA技术开发平台上设计实现了一种新型的2FSK信号产生器,利用FPGA产生波形所需的数据,再通过D/A器件输出2FSK波形.详细介绍了基于FPGA的2FSK信号产生器的设计方法,提供了部分VHDL的源代码及在Max+PlusⅡ环境下的仿真结果.整个系统的功能在EDA技术开发平台上均调试通过,具有较高的实用性和可靠性.  相似文献   

6.
吴海青  赵明忠  刘砚一 《现代电子技术》2006,29(23):107-108,115
介绍应用VHDL语言设计的出租车计费器的一种新的方案,使其具有模拟出租车起动、停止、暂停和加速等功能,并用动态扫描电路显示出租车所走的里程,显示所走路程需要的费用。所有源程序经Altrera公司的软件Max PlusⅡ调试、优化、仿真正确,下载到Altrera公司的EPF10K10LC84-4芯片中,模拟结果正确。由于使用FPGA芯片,具有外围电路少、成本低、抗干扰能力强等特点,可用于实际的出租车收费系统。  相似文献   

7.
基于VHDL的直接数字频率合成器设计与实现   总被引:1,自引:1,他引:0  
从直接数字频率合成器(DDS)的基本原理出发,详细讨论了相位量化字长对DDS性能的影响,并给出了相位控制字与振幅控制字长度与量化信噪比的关系。结合相位量化字长为24 b、振幅量化字长为10 b的正弦波DDS设计过程,给出了查表法实现的一个DDS设计实例。文中所附代码均通过Max PlusⅡ编译通过,可直接应用,同时,指出了改进查表法实现的DDS性能的几个基本途径。  相似文献   

8.
李莉 《现代电子技术》2010,33(11):86-87
根据CMI码的特性,介绍了一种新的编程思路实现CMI编码,在Max+PlusⅡ开发平台上使用VHDL编程实现CMI编码,并得到仿真波形。实验结果表明,这种编程思路简单、清晰。在产生7位伪随机序列的前提下,分别对"0","1"进行编码。这种思路为其他码型设计提供了参考。  相似文献   

9.
《现代电子技术》2019,(11):7-10
随着通信速率的提高,有噪信道的可靠通信通过信道编码来实现。文中设计的(25,20)线性分组编译码器结合汉明码能纠正一位错误且具有编码效率较高、译码电路简单、译码延时小等优点。分析伴随式与错误图样的对应关系,采用并行处理的方式,使用硬件描述语言VHDL在Xilinx公司的Vivado 2016.1环境下编程实现。通过ModelSim仿真平台验证,降低了实现的复杂度。在工程实践中将编译码器加入某实测通信系统,实现了在Artix-7系列xc7z030fbg676-1的芯片上占用较少的硬件资源实现(25,20)线性分组编译码,提高系统传输的可靠性,验证了该设计的优良性能。  相似文献   

10.
(n,k)线性分组码作为信道编码技术的重要组成部分在深空通信、卫星通信、无线通信等实际数字通信领域得到广泛应用,与此同时,对于(n,k)线性分组码的盲识别问题也正在日益凸显。通过(n,k)对线性分组码的主要原理、关键性质的分析,建立盲识别数学模型,估值判断分组码长、生成矩阵、校验矩阵等具体参数,总结分析线性分组码盲识别的研究现状,归纳提炼出现行各种盲识别算法的优点和局限性,并提出进一步解决线性分组码盲识别问题的主要研究方向。  相似文献   

11.
穆磊  姜德生  戴珩 《现代电子技术》2007,30(22):138-139
CCD作为一种新型的光电器件,被广泛地应用于非接触测量,而在CCD工作过程中,其驱动电路的设计成为其应用的关键技术之一。介绍了一种利用VHDL(硬件描述语言)编写线阵CCD驱动程序的实现方法,对TCD1501D型号的CCD工作时序做了分析。详细介绍用VHDL完成TCD1501D驱动时序的源代码,最后用Max PlusⅡ开发软件进行仿真验证,测量和仿真结果表明,该方法结构简单、系统简化,具有可行性。  相似文献   

12.
基于VHDL的FPGA开发   总被引:4,自引:0,他引:4  
陈意军 《电子与封装》2006,6(3):33-36,43
文章介绍了硬件电路描述语言VHDL的特点和描述方法,并应用VHDL介绍了一个设计实例。以FPGA器件为核心的数字系统设计使整个系统显得精简,并能达到所要求的技术指标,具有灵活的现场更改性,还有高速、精确、可靠、抗干扰性强等优点。  相似文献   

13.
可变模计数器作为一种基本数字电路模块,在各种数字系统中应用广泛.在对现有的可变模计数器的研究基础上,在QuartusⅡ开发环境中,用VHDL语言设计一种功能更加强大的可变模计数器,它具有清零、置数、使能控制、可逆计数和可变模等功能,并且对传统的可变模计数器的计数失控问题进行研究,最终设计出一种没有计数失控缺陷的可变模计数器,并以ACEX1K系列EP1K30QC208芯片为硬件环境,验证了其各项设计功能.结果表明该设计正确,功能完整,运行稳定.  相似文献   

14.
尹会明 《电子工程师》2009,35(11):37-41
分析了具有倒计时功能数字式抢答器的设计需求,给出了采用CPLD(复杂可编程逻辑器件)的系统设计方案,详细介绍了用VHDL(超高速集成电路硬件描述语言)进行其核心设计CPLD内部功能模块的具体设计及实现方法。总结了采用CPLD基于VHDL语言的系统设计优点,指出了现代数字系统设计的特点及发展趋势。  相似文献   

15.
Turbo乘积码是一种性能卓越的前向纠错码,具有译码复杂度低,且在低信噪比时可以获得近似最优的性能。介绍基于Chase算法的Turbo乘积码软入软出(SISO)迭代译码算法,提出基于VHDL硬件描述语言的TPC译码器设计方案,并在FPGA芯片上进行了仿真和验证。仿真结果证明该译码器有很大的实用性和灵活性。  相似文献   

16.
基于VHDL语言的按键消抖电路设计及仿真   总被引:1,自引:0,他引:1  
侯继红 《现代电子技术》2009,32(23):201-202,205
为了解决FPGA/CPLD系统的按键抖动问题,用VHDL语言有限状态机的方法,在S0状态下检测到有按键操作则转入延时状态S1,延时结束后,用状态S2,S3,S4对按键进行连续三次取样,如果三次取样均为低电平,则转入状态S5,并输出按键确认信号,否则,返回状态S0。电路经仿真分析,并下载到EPM7128ATC100芯片进行了验证,能够确保每次按键操作,产生一次按键确认,有很好的按键消抖效果,性能稳定。主要创新点是用VHDL语言有限状态机设计按键的消抖。  相似文献   

17.
一种基于VHDL的HDB3码编码器的设计技术   总被引:1,自引:0,他引:1  
徐进 《电子工程师》2008,34(8):28-31
数字基带信号的传输是数字通信系统的一个重要组成部分,HDB3(三阶高密度双极性码)编码是数字基带信号传输中常用的传输码型。HDB3编码无直流成分且连0串符号最多只有3个。该种码型通过正负极性交替消除传输信号中的直流成分,降低功耗。文中基于VHDL设计了HDB3编码器,实现了编码过程中的插V及插B模块;通过插入正反极性电平信号解决了串行码元中连0多于4个时的情形。在MAX+PLUSⅡ工具中进行了仿真、调试,结果表明实现了HDB3编码功能,能实现基带信号在基带信道中直接传输与提取,同时能很好地提取定时信号。  相似文献   

18.
介绍了利用VHDL硬件描述语言进行数字钟的设计,具有调节时、分、秒和整点报时功能,并通过数码管驱动电路,动态显示计时结果。采用VHDL语言设计数字电路系统是当今的趋势,是我国在世界市场上生存竞争和发展的需要。  相似文献   

19.
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号