首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
MOS characteristics of ultrathin gate oxides prepared by furnace oxidizing Si in N2O have been studied. Compared to control oxides grown in O2, N2O oxides exhibit significantly improved resistance to charge trapping and interface state generation under hot-carrier stressing. In addition, both charge to breakdown and time to breakdown are improved considerably. MOSFETs with N2O gate dielectrics exhibit enhanced current drivability and improved resistance to gm degradation during channel hot-electron stressing  相似文献   

2.
Effects of residual surface nitrogen, remaining on the Si surface after stripping off tunneling oxynitrides (N2O-grown or NH 3-nitrided oxides), on the quality of the regrown gate oxides are studied. Residual surface nitrogen is observed to reduce the breakdown field and degrade the time-dependent dielectric breakdown (TDDB) characteristics of the subsequently grown gate oxides. Results show that oxide regrowth in N2O, rather than O2, can significantly suppress these undesirable effects  相似文献   

3.
Stress-induced leakage current (SILC) is studied in ultrathin (~50 Å) gate oxides grown in N2O or O2 ambient, using rapid thermal processing (N2O oxide or control oxide, respectively). MOS capacitors with N2O oxides exhibit much suppressed SILC compared to the control oxide for successive ramp-up, constant voltage DC, and AC (bipolar and unipolar) stresses. The mechanism for SILC is discussed, and the suppressed SILC in N2O oxide is attributed to suppressed interface state generation due to nitrogen incorporation at the Si/SUO2 interface during N2O oxidation  相似文献   

4.
Effects of oxide growth temperature on time-dependent dielectric breakdown (TDDB) characteristics of thin (115 Å) N2O-grown oxides are investigated and compared with those for conventional O2-grown SiO2 films with identical thickness. Results show that TDDB characteristics of N2O oxides are strongly dependent on the growth temperature and, unlike conventional SiO2, TDDB properties are much degraded for N 2O oxides with an increase in growth temperature. Large undulations at the Si/SiO2 interface, caused by locally retarded oxide growth due to interfacial nitrogen, are suggested as a likely cause of degradation of TDDB characteristics in N2O oxides grown at higher temperatures  相似文献   

5.
The performance and reliability of deposited gate oxides for thin film transistors (TFT's) has been studied as a function of rapid thermal annealing (RTA) conditions. The effect of temperature ranging from 700 to 950°C and the annealing ambients including oxygen (O2), argon (Ar), and nitrous oxide (N2O) is investigated. Improvement in charge to breakdown (Qbd) is seen starting from 700°C, with marked increase at 900°C temperature and above. The N2O and Ar ambients result in higher Qbd compared to O2 ambient and we attribute this to reduced interfacial stress. Fourier Transform Infrared spectroscopy (FTIR) is used to qualitatively measure the stress. The bias temperature instability is decreased by RTA. The TFT characteristics are significantly improved with RTA gate oxide. The RTA-Ar anneal at 950°C results in the lowest trap density in TFT's as measured from charge pumping technique  相似文献   

6.
Thermal stability and strain relaxation temperature of strained Si 0.91Ge0.09 layers has been investigated using double crystal x-ray diffraction (DCXRD). High quality gate oxynitride layers rapid thermally grown on strained Si0.91Ge0.09 using N2O and the split N2O cycle technique below the strained relaxed temperature is reported. A positive fixed oxide charge density was observed for N2O and split-N2 O grown films. The O2 grown films exhibit a negative fixed oxide charge. The excellent improvements in the leakage current, breakdown field and charge-to-breakdown value of the N2O or split-N2O grown films were achieved compared to pure O2 grown films  相似文献   

7.
The performance and reliability of p-channel MOSFETs utilizing ultrathin (~62 Å) gate dielectrics grown in pure N2O ambient are reported. Unlike (reoxidized) NH3-nitrided oxide devices, p-MOSFETs with N2O-grown oxides show improved performance in both linear and saturation regions compared to control devices with gate oxides grown in O2. Because both electron and hole trapping are suppressed in N2O-grown oxides, the resulting p-MOSFETs show considerably enhanced immunity to channel hot-electron and -hole-induced degradation (e.g., hot-electron-induced punchthrough)  相似文献   

8.
High-field breakdown in thin oxides grown in N2O ambient   总被引:1,自引:0,他引:1  
A detailed study of time-dependent dielectric breakdown (TDDB) in N2O-grown thin (47-120 Å) silicon oxides is reported. A significant degradation in breakdown properties was observed with increasing oxide growth temperatures. A physical model based on undulations at the Si/SiO2 interface is proposed to account for the degradation. Accelerated breakdown for higher operating temperatures and higher oxide fields as well as thickness dependence of TDDB are studied under both polarities of injection. Breakdown under unipolar and bipolar stress in N2O oxides is compared with DC breakdown. An asymmetric improvement in time-to-breakdown under positive versus negative gate unipolar stress is observed and attributed to charge detrapping behavior in N2O oxides. A large reduction in time-to-breakdown is observed under bipolar stress when the thickness is scaled below 60 Å. A physical model is suggested to explain this behavior. Overall, N2O oxides show improved breakdown properties compared with pure SiO2  相似文献   

9.
The reliability of thin gate oxides grown by rapid thermal oxidation in O2 followed by one and two step postoxidation annealing (POA) in N2 was studied. The one step POA was carried out by switching O2 into N2 immediately after oxidation without changing temperature, while the two step POA was cooled down first and subsequently heated to the same temperature as oxidation in N2. It was experimentally observed that the oxide thickness increases significantly with the POA time in one step POA, while the oxide thickness shows very little change during two step POA. The interfacial properties and the oxide breakdown endurance can be improved by the two step POA. Also, the radiation hardness of oxide is less degraded by the two step POA than by one step POA. The effect of oxide thickness variation due to POA is chiefly responsible for the observation and is important to thin gate oxides  相似文献   

10.
Furnace nitridation of thermal SiO2 in pure N2 O ambient for MOS gate dielectric application is presented. N2O-nitrided thermal SiO2 shows much tighter distribution in time-dependent dielectric breakdown (TDDB) characteristics than thermal oxide. MOSFETs with gate dielectric prepared by this method show improved initial performance and enhanced device reliability compared to those with thermal gate oxide. These improvements are attributed to the incorporation of a small amount of nitrogen (~1.5 at.%) at the Si-SiO2 interface without introducing H-related species during N2O nitridation  相似文献   

11.
The post-polysilicon gate-process-induced degradation on the underlying gate oxide is studied. The degradation includes an increase in the electron trapping rate and a decrease in the charge-to-breakdown, Qbd, of the gate oxide. It is found that N2O nitrided gate oxide is more robust than O2 gate oxide in resisting the degradation. Also, to grow a thin polyoxide on the polysilicon-gate in N2O rather than in O2 lessens the degradation on the underlying gate oxide. It is nitrogen, which diffuses through the polysilicon gate and piles up at both polysilicon/oxide and oxide/silicon-substrate interfaces, that improves the oxide quality for the N2O process  相似文献   

12.
The authors report the application of rapid thermal processing (RTP) to the fabrication of ultrathin (~10 nm) high-quality fluorinated oxides in O2+NF3 (100 ppm diluted in N2). NF3 was used as the F source gas and was introduced either prior to rapid thermal oxidation (RTO) or with O2 during the initial stage of RTO. The oxidation rate was enhanced because of the presence of NF3. In addition, F depth profiles in fluorinated oxides were dependent upon the process conditions. The electrical characteristics of MOS capacitors have been studied and correlated with the chemical properties. The initial interface state density (Dt) was found to decrease with F incorporation. The results suggest that the interfacial F incorporation plays a major role in determining the interface hardness for both hot-electron and radiation damages  相似文献   

13.
Rapid thermal processing (RTP) was applied to the fabrication of the ultrathin (~10 nm) high-quality fluorinated oxides in O2+NF3. NF3 (diluted in N2) was used as the F source gas and was introduced either prior to rapid thermal oxidation (RTO) or with O2 during the initial stage of RTO. The electrical characteristics of MOS capacitors have been studied and correlated with the chemical properties. It was found that SiO2 with a small amount of F incorporated shows reduced interface state generation under F-N injection, whereas excessive F incorporation is detrimental  相似文献   

14.
We report on a quantitative study of boron penetration from p+ polysilicon through 5- to 8-nm gate dielectrics prepared by rapid thermal oxidation in O2 or N2O. Using MOS capacitor measurements, we show that boron penetration exponentially increases with decreasing oxide thickness. We successfully describe this behavior with a simple physical model, and then use the model to predict the magnitude of boron penetration, NB, for thicknesses other than those measured. We find that the minimum tox required to inhibit boron penetration is always 2-4 nm less when N2O-grown gate oxides are used in place of O2- grown oxides. We also employ the boron penetration model to explore the conditions under which boron-induced threshold voltage variation can become significant in ULSI technologies. Because of the strong dependence of boron penetration on tox, incremental variations in oxide thickness result in a large variation in NB , leading to increased threshold voltage spreading and degraded process control. While the sensitivity of threshold voltage to oxide thickness variation is normally determined by channel doping and the resultant depletion charge, we find that for a nominal thickness of 6 nm, threshold voltage control is further degraded by penetrated boron densities as low as 1011 cm-2  相似文献   

15.
In this study, oxide stacks formed by combinations of rapid thermal chemical vapor deposition and rapid thermal oxidation have been investigated as gate dielectrics. This was achieved by performing various types of in situ rapid thermal oxidations both prior to and after oxide deposition to form composite stacked structures. The oxidation ambient and temperature was varied to study the effect on electrical properties such as mobility, leakage current, charge trapping, breakdown and hot carrier degradation. It was found that pre-oxidation prior to depositing an oxide results in a composite structure that greatly reduces the defect density by mismatching pores and weak spots in each film. The mobility behavior of these films was also found to be improved over as-deposited oxides. Post-deposition oxidation in O2 and N2O was also found to improve the mobility characteristics. Additionally, post-annealing in N2 O was effective in improving the reliability of deposited oxides. These N2O annealed films had low interface trap densities, improved high field mobility, very low charge trapping characteristics and enhanced resistance to hot carrier induced interface state generation. These improvements are attributed to 1) the presence of nitrogen at the interface and 2) to the reduction of nitrogen and hydrogen concentrations in the bulk of the oxide. The role of atomic oxygen during the post-anneal in N2O is discussed along with differences in annealing ambients  相似文献   

16.
The oxide/Si interface properties of gate dielectric prepared by annealing N2O-grown oxide in an NO ambient are intensively investigated and compared to those of O2-grown oxide with the same annealing conditions. Hot-carrier stressings show that the former has a harder oxide/Si interface and near-interface oxide than the latter. As confirmed by SIMS analysis, this is associated with a higher nitrogen peak concentration near the oxide/Si interface and a larger total nitrogen content in the former, both arising from the initial oxidation in N2O instead of O2  相似文献   

17.
The characteristics of GaAs native oxides prepared by the liquid phase chemical-enhanced oxidation technique annealed at various ambiences including N2, O2, and mixture of N2 (85%) and H2 (15%) are investigated. The annealing temperatures range from 300 to 700 °C. The shrinkage of oxide film thickness, the increase of refractive index, the decrease of surface roughness, the enhancement of breakdown field strength and the reduction of leakage current have been obtained for all annealing conditions, except for annealing in the ambient atmosphere of N2 or O2 at temperature of 700 °C. It is found that annealed oxide films exhibited better thermal stability in an atmosphere of N2/H2 up to an annealing temperature of 700 °C. This is due to the existence of H atoms in the oxide films as demonstrated by SIMS depth profiles.  相似文献   

18.
Electrical properties of MOSFETs with gate dielectrics of low-pressure chemical-vapor-deposited (LPCVD) SiO2 nitrided in N2O ambient are compared to those with control thermal gate oxide. N2O nitridation of CVD oxide, combines the advantages of interfacial oxynitride growth and the defectless nature of CVD oxide. As a result, devices with N2O-nitrided CVD oxide show considerably enhanced performance (higher effective electron mobility), improved reliability (reduced charge trapping, interface state generation, and transconductance degradation), and better time-dependent dielectric breakdown (TDDB) properties (tBD ) compared to devices with control thermal oxide  相似文献   

19.
Time-dependent dielectric breakdown (TDDB) characteristics of MOS capacitors with thin (120-Å) N2O gate oxide under dynamic unipolar and bipolar stress have been studied and compared to those with control thermal gate oxide of identical thickness. Results show that N2O oxide has significant improvement in t BD (2×under-Vg unipolar stress, 20×under+Vg unipolar stress, and 10×under bipolar stress). The improvement of tBD in N2O oxide is attributed to the suppressed electron trapping and enhanced hole detrapping due to the nitrogen incorporation at the SiO2/Si interface  相似文献   

20.
AC hot-carrier effects in n-MOSFETs with thin (~85 Å) N2O-nitrided gate oxides have been studied and compared with control devices with gate oxides grown in O2. Results show that furnace N2O-nitrided oxide devices exhibit significantly reduced AC-stress-induced degradation. In addition, they show weaker dependences of device degradation on applied gate pulse frequency and pulse width. Results suggest that the improved AC-hot-carrier immunity of the N2O-nitrided oxide device may be due to the significantly suppressed interface state generation and neutral electron trap generation during stressing  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号