首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 15 毫秒
1.
《微型机与应用》2015,(12):30-32
描述了基于I2C总线多步进电机平台的设计,介绍了I2C总线通信协议的特点,给出了I2C总线在1片主MSP430G2553和8片从MSP430G2553之间数据传输程序流程图和整个平台的硬件结构框架,完成了基于I2C总线的单主多从通信,最终实现了对多路步进电机的控制。  相似文献   

2.
设计了一种I2C总线接口控制器,该控制器具备协议层处理功能,可以实现对基于I2C总线协议的AT24系列存储器的控制。在FPGA平台下利用VHDL硬件编程技术实现了该设计,进行了功能仿真,并在实验板上完成了验证。  相似文献   

3.
通过分析FT2000/4平台上所采用的I2C总线特点,针对性地设计了驱动模型,并完成国产嵌入式操作系统下I2C总线基本功能的实现,对设计的驱动进行了实验验证。实验结果表明,提出的I2C驱动基本功能正常,能够满足处理器与外围芯片的通信要求。  相似文献   

4.
基于CPLD的系统中I~2C总线的设计   总被引:6,自引:0,他引:6  
张昆  邱扬  刘浩 《电子技术应用》2003,29(11):40-42
在介绍I2C总线协议的基础上,讨论了基于CPLD的系统中I2C总线的设计技术,并结合工程实例设计了I2C总线IP核,给出了部分源代码和仿真结果。  相似文献   

5.
用Verilog HDL实现I2C总线功能   总被引:5,自引:0,他引:5  
简述了I2C总线的特点;介绍了开发FPGA时I2C总线模块的设计思路;给出并解释了用VerilogHDL实现部分I2C总线功能的程序,以及I2C总线主从模式下的仿真时序图。  相似文献   

6.
基于FPGA的片内多址I2C总线控制器设计   总被引:1,自引:0,他引:1  
介绍了I2C总线的工作原理及数据传输格式,分析了本设计在传统I2C总线控制器上的改进,由于加入了片内地址,更有利于实现系统集成,接着用自顶向下的设计方法首先给出了基于FPGA的片内多地址地址I2C总线控制器和从动器件总体架构,进行了Verilog语言的行为源描述,并给出了系统的仿真波形,仿真结果表明其能够在快速模式下很好的工作,最后通过FPGA实现。  相似文献   

7.
基于FPGA的I2C总线接口设计   总被引:2,自引:0,他引:2  
本文简述了I2C总线的工作原理,重点介绍了在FPGA上实现I2C总线接口的结构设计和Verilog HDL代码设计,并给出了仿真结果.  相似文献   

8.
介绍了一种基于FPGA的多路I2C总线设计与实现.主要包括系统处理器、局部总线、FPGA逻辑模块、负载设备几部分,实现了从处理器局部总线到I2C协议的转换及其多路扩展,使系统具有多个I2C总线通道,且每一路I2C总线上能挂载多个不同的主设备或从设备.该系统中各路I2C总线相互独立工作,没有干扰和影响.  相似文献   

9.
利用Altera的QuartosⅡ软件开发平台在FPGA上实现了I^2C总线IP核的设计。IP核满足I^2C总线的功能要求。主设备通过该IP核可以向从设备中写入或者从中读取数据,解决了I^2C总线在SOPC中的应用问题。为了满足复用,该IP核采用Avalon总线接口,同时利用Modelsim进行了功能仿真。  相似文献   

10.
闫霞  方康玲 《微计算机信息》2006,22(35):294-296
本文介绍了I2C总线的通讯特点,简要说明了I2C总线的协议规范和特点,并针对Philip公司视频解码系列芯片SAA7111A/SAA7121,从模块控制的角度说明了用FPGA实现I2C总线控制核的思路和主要设计流程.使用Cyclone系列的EP1C6Q240C8,对I2C模块进行分析综合与仿真,并在实际应用中得到满意的结果.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号