首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
本文是系列文章“伪随机序列研究”的第二部分.主要给出了伪随机序列的 Fourier,Hartley,W,Sine 和 Cosine 等正交变换的频谱特性.这些结果有助于密码分析设计和其它信号处理问题.  相似文献   

2.
随机序列在现代科学实践中有着重要的作用,但由于其不便于利用,所以引入了伪随机序列的概念.m序列是最早被广泛应用于实践中的伪随机序列,具有良好的随机性和平衡性,但在数量上有着很大的劣势,于是便产生了利用m序列构造的Gold序列.深入研究了伪随机序列的共有性质及m序列和Gold序列的特性,并讨论了伪随机序列在科学实践中的具体应用情况.  相似文献   

3.
基于高速DG的伪随机序列及其产生研究   总被引:1,自引:0,他引:1  
针对专用伪随机序列发生器的不足,对基于高速数据发生器的伪随机序列及其产生作了较深入的研究。阐述了伪随机序列的几种码制和产生方式,提出了基于高速数据发生器的伪随机序列设计的一般原则和方法,并给出了典型伪随机序列在高速数据发生器中的设计实现和实验结果。  相似文献   

4.
GF(2)上伪随机序列s∞与-s∞的复杂性分析   总被引:2,自引:0,他引:2  
提出了域GF(2)上伪随机序列s^∞的极小多项式fs(x)与s^∞按位取反后所得序列s^-∞的极小多项式fs^-(x)之间的关系表达式。关系表明fs^-(x)等于(1 x)fs(x),若x=1不是fs(x)的根;fs^-(x)等于(1 x)f1(x),若x=1是fs(x)的单根且fs(x)等于(1 x)f1(x);fs^-(x)赞美地fs(x),若x=1是fs(x)的重根。利用上述关系分析了域GF(2)上伪随机序列s^N与s^-N的重量复杂度之间的关系,结果表明重量复杂度WCu(s^N)和WCN-u(s^N)的差不超过1,这样可使重量复杂度的计算量减少一半。文中所提出的关系可用于分析域GF(2)上伪随机序列的复杂度。  相似文献   

5.
针对现有的PRNG的均匀性差的特性,文献[1]提出了一种将混沌序列变换成均匀伪随机序列的普适算法。我们首次提出该算法的FPGA实现方案,方案由上位机软件、UART控制器、初值缓存器、均匀化算法实现单元、尾数序列缓存转换器组成。采用VHDL完成各模块设计,芯片选用逻辑资源为100万门的CycloneIIEP2C35F672C6,硬件电路共占6721逻辑单元,资源率20%,工作频率为50MHz。  相似文献   

6.
伪随机序列在序列密码、通信技术等领域都起着重要的作用。作为序列密码的密钥流,伪随机序列的安全性和生成速度决定了序列密码的安全级别和实现效率。基于校验子译码问题的伪随机序列可以抵抗现有的量子算法攻击,具有较高的安全性,同时还具备较快的生成速度。综述分析基于校验子译码的伪随机序列生成技术,发现其生成效率不高且存储空间消耗大,这正是未来研究需要重点解决的问题。  相似文献   

7.
针对广泛应用于密码学、扩频通信、数字系统测试等领域的伪随机序列信号实现的疑难问题,应用DSPBuilder模块将Matlab中S函数产生的Kent混沌二进制序列算法转换成VHDL语言,在现场可编程阵列FPGA上实现混沌伪随机序列.通过分析可以看出Kent混沌二进制序列具有优良的伪随机性能.  相似文献   

8.
为了设计新的伪随机序列发生器,以便产生计算机、通信和密码系统中所需的伪随机序列,构造了一个新的混沌系统,该文系统的一些基本动力学特性进行了理论分析,并以新构造的混沌系统作为随机信号源,基于FPGA设计了一个混沌伪随机序列发生器并进行了实验验证。这种设计方法可应用于以FPGA为平台的混沌通信和密码系统的设计之中。  相似文献   

9.
本文给出了由任一单向陷门函数构造新型密码意义下强的伪随机位生成器的一般方法,这种伪随机位生成器具有与其它生成器结合的“接口”,且生成器产生的序列的周期“几乎”都大于任意给定的值。  相似文献   

10.
广义伪随机屏蔽二进序列偶理论研究   总被引:3,自引:1,他引:2  
提出了一种具有良好周期相关特性的新型离散信号,即广义伪随机屏蔽二进序列偶,给出了其变换性质和组合允许条件.在这些性质的基础上利用计算机搜索出若干小体积的广义伪随机屏蔽二进序列偶,并与伪随机二进序列偶进行了比较,结果表明广义伪随机屏蔽二进序列偶具有很高的能量效率和较大的存在空间,可以作为同步码或多用户码应用于实际工程当中.  相似文献   

11.
结合细胞自动机所特有的单元结构的简单性、单元之间作用的局部性和信息处理的高度并行性等特点,利用细胞自动机产生高速序列;分析了比特与、或、异或运算周期特性,其周期等于各自周期的最小公倍数;证明了比特异或运算的频率特性优于原有的频率特性。研究了比特组合运算的线性复杂独特性,比特与、或运算的线性复杂度等于各自线性复杂度的乘积,异或运算的线性复杂度等于各自线性复杂度之和。利用伪随机特性检测方法和线性复杂度的测试方法的计算机模拟表明细胞自动机组合伪随机序列发生器实现简单、速度高、能有效增加序列周期长,改善序列伪随机统计特性,并能有效增加伪随机序列的线性复杂度。  相似文献   

12.
13.
伪随机序列在声学测温中的应用研究   总被引:1,自引:0,他引:1  
声学测温技术中声源信号选择是准确测量声波飞渡时间的关键。基于Matlab平台,编程制取m序列和扫频信号,进行了互相关特性、抗噪性能仿真比较;并在实验室加背景噪声的条件下,对两种信号的声波时间延迟估计进行了实验研究。仿真和实验结果表明:由于伪随机信号具有二值自相关性,比扫频信号具有更高的准确性、稳定性和抗干扰性能,可以进一步提高声学测温的精度。  相似文献   

14.
伪随机三频波激电法   总被引:5,自引:3,他引:5  
伪随机三频波激电法具有高精度、高效率、仪器设备轻便,抗耦,抗干扰能力强,信息量丰富等特点,本方法适合快速普查和详查及工程勘探,1台发送机可配多台接收机同时工作,也可采取主剖面和旁侧剖面一道工作,便于进行频谱测量,还可利用频率接头点处的非线性效应深入研究异常源的性质。  相似文献   

15.
伪随机序列的生成及其在雷达捷变频技术中的应用   总被引:2,自引:0,他引:2  
介绍了伪随机序列的基本原理及其在雷达捷变频技术中的应用过程.提出了一种基于FPGA的m序列生成方法.以Actel公司的Libero8.4软件为开发平台,用Verilog语言编程,给出了序列的仿真结果,分析了序列的统计特性,结果证明所生成的m序列具有良好的伪随机特性.  相似文献   

16.
本文提出了一种产生伪随机序列的新算法,该算法所产生的序列不但具有与高次幂剩余序列可比拟的统计特性,而且其保密性也远强于线性同余序列。我们还通过计算机模拟实验验证了该算法的有效性,文中给出了部分实验结果。  相似文献   

17.
根据车内空气传播噪声的源-路径-接受者模型,提出了传递路径函数的m序列相关辨识方法。仿真结果表明:该方法具有很好的噪声抗干扰性能。采用复杂可编程逻辑器件CPLD为核心器件,连接外围电路,设计了移位时钟频率可调、长度可选的m序列发生器。利用m序列发生器进行实车对比实验,结果表明:m序列方法的测试误差比白噪声法小1/3,辨识精度高;测试环境的本底噪声能提高40dB(A),抗干扰性好。  相似文献   

18.
针对以单圈T函数代替线性移位寄存器设计流密码的可行性,对单圈T 函数的分量函数所生成序列(第k位序列)的移位自相关性进行了研究. 在移位量取第k位序列周期与2的负指数幂之积的条件下, 根据单圈T函数的性质,结合自相关函数的周期特征, 计算了对应的自相关函数的上界和下界. 由该上下界分析知,当移位量较小时,自相关函数的取值较大,表明T函数不适合直接用作密码函数生成伪随机序列.  相似文献   

19.
军用跳频通信系统为了提高抗干扰能力和达到高的通信性能,要求跳频频率合成器具有高的跳频速度、低的相位噪声和杂散电平,同时输出频率按照伪随机序列跳变.本文介绍了一种伪随机序列快速跳频频率合成器.本跳频频率合成器采用直接数字频率合成技术(DDS)和锁相频率合成技术(PLL)相混合的形式产生高精度、高稳定的频率输出.该频率合成器的输出频率按m序列快速跳变,输出信号带宽为:350~510MHz,相位噪声优于-90dBC/Hz/1KHz,杂散电平优于-60dB.该频率合成器能应用于军用跳频通信系统,改善通信系统的抗干扰能力.  相似文献   

20.
提出一种基于伪随机序列降低正交频分多路复用系统发射信号的峰值功率与平均功率的峰均值比新方法.该方法通过伪随机序列矩阵扰乱发送序列,以此减小发送序列的相关特性,从而达到降低正交频分多路复用系统的发射信号的峰值功率与平均功率的峰均值比目的.仿真结果表明,该方法简单、实用、复杂度低,并能与其他方法联用.在子载波数较少时,可明显降低正交频分多路复用系统的发射信号的峰值功率与平均功率的峰均值比.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号