首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The density and energy distribution of electrically active interface defects in the (1 0 0)Si/SiO2/HfO2 system are presented. Experimental results are analysed for HfO2 thin films deposited by atomic layer deposition and metal-organic chemical vapour deposition on (1 0 0)Si substrates. The paper discusses the origin of the interface states, and their passivation in hydrogen over the temperature range 350–550 °C.  相似文献   

2.
The growth of Pr2O3 layers on Si(1 1 1) has been studied by X-ray diffraction, Low-energy electron diffraction (LEED) and atomic force microscopy (AFM). Pr2O3 starts to grow as a 0.6-nm thick layer corresponding to one unit cell of the hexagonal phase (1 ML). The X-ray results indicate that layers thicker than 0.6 nm do not grow with the hexagonal phase. Growth takes place at a sample temperature of 500–550 °C. Annealing of the monolayer in UHV at a temperature above 700 °C leads to the formation of Pr2O3 and PrSi2 islands. Silicide islands are found only at annealing in UHV and do not occur at annealing in oxygen atmosphere of 10−8 mbar. The LEED pattern after heating to 730 °C shows a (2×2) and (√3×√3) superstructure and after heating to 1000 °C a (1×5) superstructure occurs. The superstructures seen in the LEED pattern arise from silicide structures in the area between the islands. The silicide remains on the surface and cannot be removed with flashing to 1100 °C. Further deposition of Pr2O3 on the surface covered with silicide phases does not lead to growth of ordered layers.  相似文献   

3.
We report on a low-temperature electron spin resonance (ESR) study of (1 0 0)Si/HfO2 entities with ultrathin layers of amorphous (a)- HfO2 deposited by distinct chemical vapor deposition (CVD) techniques using chemically different precursors. The incorporation of N is revealed in (1 0 0)Si/HfO2 structures with ultrathin a-HfO2 films deposited by CVD using Hf(NO3)4 as precursor: Upon 60Co γ-irradiation, a prominent ESR powder pattern is observed, which via ESR measurements at two observational frequencies has been incontrovertibly identified as originating from NO2 radicals (density 55 at ppm). The molecules are found to be stabilized and likely homogeneously distributed in the a-HfO2 network. Based on symmetry considerations, it is suggested that during deposition, N is incorporated in the HfO2 network as neutral N≡O3 precursors, which are transformed into ESR-active NO2 radicals upon γ-irradiation. The N incorporation appears inherent to the particular nitrado CVD process, an aspect that may bear on the electrical properties of the insulator, such as, e.g., introducing charge traps.  相似文献   

4.
The energy distribution of (1 0 0)Si/HfO2 interface states and their passivation by hydrogen are studied for different levels of nitrogen incorporation using different technological methods. The results are compared to those of N-free samples. The nitrogen in the (1 0 0)Si/HfO2 entity is found to increase the trap density in the upper part of the Si band gap and to hinder the passivation of traps in molecular hydrogen in this energy range. At the same time, the passivation of fast interface traps in the lower part of the band gap proceeds efficiently, provided the thickness of the grown Si3N4 interlayer is kept minimal. However, the lowest achievable interface trap density below midgap is set by the presence of slow N-related states, likely related to traps in the insulator.  相似文献   

5.
Admittance (ac) measurements were carried out to determine the interface trap density (Dit) as a function of energy E in the Si bandgap at interfaces of Si with different insulating oxides (Al2O3, ZrO2, HfO2). The results are compared to those of the conventional thermal SiO2/Si interface. The results show that a significant portion of the interface trap density in the as-deposited and de-hydrogenated samples is related to the amphoteric Si dangling bond defects (Pb0 -centers). The Dit is much enhanced for the Al-containing insulators as compared to Si/SiO2 but can be reduced by annealing in O2. As to annealing in H2, efficient passivation of Pb0 centers by hydrogen is achieved for Si/ZrO2 and Si/HfO2 interfaces, yet it fails for Si/Al-containing oxide entities. Among the insulators studied, the results suggest HfO2 to be the best choice of an alternative insulator.  相似文献   

6.
A deeper understanding of Hf-based high-K materials in terms of their structural and electrical defects is important for device implementation. We have studied the occurrence of such defects using wet-etch defect delineation, electron microscopy, depth-profiling and conventional electrical measurements. It is evident that defects are present in HfO2 films that are related to the microstructure and stoichiometry of the film, which in turn depend on the deposition temperature, starting surface and post-deposition treatments. These results appear to be independent of the deposition technique. Two types of defects were observed, those that are physically visible and cause immediate failures especially on large-area structures, and those that cause high leakage but not immediate failures. The existence of defects affects not only leakage or performance but will also affect the reliability through trapping of charge at the defect sites. As films continue to be scaled thinner, the requirements on defect reduction to minimize electrical impact may become more stringent.  相似文献   

7.
This study investigates the tensile-strained growth of LaAlO3 on SrTiO3(0 0 1) substrate by molecular beam epitaxy (MBE). Growth was controlled in situ by reflection high energy electron diffraction (RHEED). The characterization was carried out ex situ by photoemission and atomic force microscopy (AFM). Photoelectron spectroscopy (XPS) reveals the development of a TiOx-rich interface. Photoelectron diffraction (XPD) confirms that a 1.2-nm-thick pseudomorphic LaAlO3 film has been grown on SrTiO3(0 0 1) substrate with a perpendicular lattice parameter of 0.372±0.02 nm.  相似文献   

8.
The energy distribution of extended and localized electron states at the Ge/HfO2 interface is determined by combining the internal photoemission of electrons and holes from Ge into the Hf oxide and AC capacitance/conductance measurements. The inferred offsets of the conduction and valence band at the interface, i.e., 2.0 ± 0.1 and 3.0 ± 0.1 eV, respectively, suggest the possibility to apply the deposited HfO2 layer as a suitable insulator on Ge. The post-deposition annealing of the Ge/HfO2 structures in oxygen results in 1 eV reduction of the valence band offset, which is attributed to the growth of a GeO2 interlayer. However, this treatment enables one to substantially reduce the density of Ge/HfO2 interface traps, approaching ≈1×1012 cm−2 eV−1 near the Ge midgap.  相似文献   

9.
In this work, the potentiality of molecular beam epitaxy techniques to prepare epitaxial lanthanum aluminate (LaAlO3) films on Si(0 0 1) is explored. We first demonstrate that the direct growth of LaAlO3 on Si(0 0 1) is impossible : amorphous layers are obtained at temperatures below 600 °C whereas crystalline layers can be grown at higher temperatures but interfacial reactions leading to silicate formation occur. An interface engineering strategy is then developed to avoid these reactions. SrO and SrTiO3 have been studied as buffer for the subsequent growth of LaAlO3. Only partial LaAlO3 epitaxy is obtained on SrO whereas high quality layers are achieved on SrTiO3. However both SrO and SrTiO3 appear to be unstable with respect of Si at the growth temperature of LaAlO3 (700 °C). This leads to the formation of relatively thick amorphous interfacial layers. Despite their instability at high temperature, these processes could be used for the fabrication of twins-free LaAlO3 templates on Si, and for the fabrication of complex oxide/Si heterostructures for various applications.  相似文献   

10.
Density functional theory was used to performed a survey of transition metal oxide (MO2 = ZrO2, HfO2) ordered molecular adsorbate bonding configurations on the Ge(1 0 0)-4 × 2 surface. Surface binding geometries of metal-down (O-M-Ge) and oxygen-down (M-O-Ge) were considered, including both adsorbate and displacement geometries of M-O-Ge. Calculated enthalpies of adsorption show that bonding geometries with metal-Ge bonds (O-M-Ge) are essentially degenerate with oxygen-Ge bonding (M-O-Ge). Calculated electronic structures indicate that adsorbate surface bonding geometries of the form O-M-Ge tend to create a metallic interfaces, while M-O-Ge geometries produce, in general, much more favorable electronic structures. Hydrogen passivation of both oxygen and metal dangling bonds was found to improve the electronic structure of both types of MO2 adsorbate systems, and induced the opening of true semiconducting band gaps for the adsorbate-type M-O-Ge geometries. Shifts observed in the DOS minima for both O-M-Ge and M-O-Ge adsorbate geometries are consistent with surface band bending induced by the adsorbate films, where such band bending extends much further into the Ge substrate than can be modeled by the Ge slabs used in this work.  相似文献   

11.
Er-doped HfO2 thin films with Er content ranging from 0% to 15% are deposited by atomic layer deposition on native oxide free Ge(001). The crystallographic phase is investigated by X-ray diffraction and is found to depend on the Er%. The cubic fluorite structure develops on Ge for Er% as low as 4% and is stable after annealing at 400 °C in N2. Microstrain increases with increasing the Er content within the fluorite structure. Time of flight secondary ion mass and electron energy loss spectroscopy evidence a Ge diffusion from the substrate that results in the formation of a Ge-rich interfacial region which does not present a structural discontinuity with the oxide. The diffusion of Ge is enhanced by the annealing and causes a reordering of the crystal lattice. In annealed films the interface defect density measured by low temperature conductance measurements is found to decrease with decreasing the Er content.  相似文献   

12.
N2O is known to be the stronger oxidizing agent than O2 for the post-deposition annealing of Ta2O5·N2O should also be stronger than O2 for Si oxidation. However, NO released from N2O is also a nitridation agent which can produce silicon oxynitride at a temperature above 1000 °C and silicon oxynitride can be a diffusion barrier for oxygen. Below 1000 °C, SiO sublimation can make the comparison of N2O oxidation and O2 oxidation of Si difficult. Below 750 °C, N2O is obviously the faster oxidizing agent than O2 for bare Si. Furthermore, our results show that minimum interfacial SiOx, which has a low dielectric constant, occurs at about 800 °C or 950 °C for high-K metallic oxide gate insulator for future generations of CMOS because rapid thermal oxidation at these two temperatures can help to reduce leakage current or charge trapping by suppressing oxygen vacancies without too much low-K interfacial SiOx formation.  相似文献   

13.
Low-temperature Si barrier growth with atomically flat heterointerfaces was investigated in order to improve negative differential conductance (NDC) characteristics of high-Ge-fraction strained Si1−xGex/Si hole resonant tunneling diode with nanometer-order thick strained Si1−xGex and unstrained Si layers. Especially to suppress the roughness generation at heterointerfaces for higher Ge fraction, Si barriers were deposited using Si2H6 reaction at a lower temperature of 400 °C instead of SiH4 reaction at 500 °C after the Si0.42Ge0.58 growth. NDC characteristics show that difference between peak and valley currents is effectively enhanced at 11-295 K by using Si2H6 at 400 °C, compared with that using SiH4 at 500 °C. Non-thermal leakage current at lower temperatures below 100 K tends to increase with decrease of Si barrier thickness. Additionally, thermionic-emission dominant characteristics at higher temperatures above 100 K suggests a possibility that introduction of larger barrier height (i.e. larger band discontinuity) enhances the NDC at room temperature by suppression of thermionic-emission current.  相似文献   

14.
The electrical and physical properties of CeO2–HfO2 nanolaminates deposited by pulsed laser deposition (PLD) are investigated. The properties of the nanolaminates are compared with binary CeO2 and HfO2 thin films. Layers were deposited using CeO2 and HfO2 targets at substrate temperatures between 220 and 620 °C in 10 Pa Ar+H2 or O2. In situ post deposition anneal (PDA) was achieved by controlled cooling down to room temperature with . Nanolaminates starting with CeO2 show lower EOT and leakage compared to layers starting with HfO2. TEM and XRD analyses showed thickness-dependent crystallinity of the layers, varying from amorphous to highly oriented polycrystalline phase.C–V and I–V measurements were done on the capacitors. Lowest fixed-charge density was found for the nanolaminates deposited at 520 °C. The k values of the nanolaminates extracted by the EOT-physical thickness plots were found to be 141, 48 and 22, for deposition temperatures 420, 520 and 620 °C, respectively. Higher k value for lower deposition temperatures is explained by the thickness dependent morphology of the layers. An with was found for binary HfO2 layer with 4 nm physical thickness. Lowest leakage current density was for a 4 nm laminate deposited at 420 °C and with a cooling rate of 2 °C/min during PDA.  相似文献   

15.
The composition and chemical bonding of the first atoms across the interface between Si(0 0 1) and the gate dielectrics determine the quality of gate stacks. An analysis of that hidden interface is a challenge as it requires high sensitivity in both elemental and chemical state information. We used synchrotron radiation (SR) based photoelectron spectroscopy and, in particular, X-ray absorption spectroscopy in total electron yield and total fluorescence yield at the Si2p and the O1s edges to address this issue. We report on results for Hf oxide prepared by ALD and compare to Pr2O3/Si(0 0 1). For Hf oxide thin films we find evidence for the silicate formation at the interface as derived from the characteristic features in the X-ray absorption spectra at the Si2p and the O1s edges. Resonant photoelectron spectroscopy is used to analyze the absorption band in detail. Following the resonant profiles of initial and final states we deduce from the resonant behaviour a charge donation via a Si-induced charge transfer.  相似文献   

16.
CuIn0.75Al0.25Se2 thin films prepared onto glass substrates at TS=573 K were single phase, nearly stoichiometric and polycrystalline with a strong (1 1 1) preferred orientation showing sphalerite structure. The results of X-ray diffraction and electron diffraction studies are compared, interpreted and correlated with micro-Raman spectra. The optical absorption studies indicated a direct band gap of 1.16 eV with high absorption coefficient (>104 cm?1) near the fundamental absorption edge.  相似文献   

17.
The initial Ti(8 nm)/Co(10 nm)/Ti(5 nm) structures formed on the Si(100) substrate by magnetron sputtering were subjected to two-stage rapid thermal annealing (RTA) in the nitrogen ambient. The samples of the structures were controlled using the time-of-flight SIMS, the Auger spectroscopy, scanning electron microscopy, X-ray dispersion microprobe analysis, and measurements of the layer resistance at each stage of annealing. At the RTA-1 stage (550°C, 45 s), a sacrificial layer formed on the surface. This layer consisted of the titanium (oxy)nitride coating, into which the residual impurities (O, C, and N) were forced out, and the transient Co-Si-Ti(TiO,TiN) layer with a high cobalt content and a low (trace) titanium content. After the selective removal of this sacrificial layer, the surface composition corresponded to monosilicide CoSi, which transformed into the highly conductive CoSi2 phase at the RTA-2 stage (830°C, 25 s).  相似文献   

18.
In this work we compare the impacts of nickel (Ni), titanium-nitride (TiN), molybdenum (Mo), and aluminium (Al), gates on MOS capacitors incorporating HfO2- or ZrO2-dielectrics. The primary focus lies on interface trapping, oxide charging, and thermodynamical stability during different annealing steps of these gate stacks. Whereas Ni, Mo, and especially TiN are investigated as most promising candidates for future CMOS devices, Al acted as reference gate material to benchmark the parameters. Post-metallization annealing of both, TiN- and Mo-stacks, resulted in very promising electrical characteristics. However, gate stacks annealed at temperatures of 800 °C or 950 °C show thermodynamic instability and related undesirable high leakage currents.  相似文献   

19.
Hafnium oxide (HfO2) films were deposited on Si substrates with a pre-grown oxide layer using hafnium chloride (HfCl4) source by surface sol-gel process, then ultrathin (HfO2)x(SiO2)1−x films were fabricated due to the reaction of SiO2 layer with HfO2 under the appropriate reaction-anneal treatment. The observation of high-resolution transmission electron microscopy indicates that the ultrathin films show amorphous nature. X-ray photoelectron spectroscopy analyses reveal that surface sol-gel derived ultrathin films are Hf-Si-O alloy instead of HfO2 and pre-grown SiO2 layer, and the composition was Hf0.52Si0.48O2 under 500 °C reaction-anneal. The lowest equivalent oxide thickness (EOT) value of 0.9 nm of film annealed at 500 °C has been obtained with small flatband voltage of −0.31 V. The experimental results indicate that a simple and feasible solution route to fabricate (HfO2)x(SiO2)1−x composite films has been developed by means of combination of surface sol-gel and reaction-anneal treatment.  相似文献   

20.
This work presents the in situ reflection high-energy electron diffraction (RHEED), scanning tunneling microscopy (STM) and synchrotron-radiation photoemission studies for the morphological and interfacial chemical characterization of in situ atomic layer deposited (ALD) Al2O3 on pristine molecular beam epitaxy (MBE) grown Ga-rich n-GaAs (1 0 0)-4 × 6 surface. Both the RHEED pattern and STM image demonstrated that the first cycle of ALD-Al2O3 process reacted immediately with the GaAs surface. As revealed by in situ synchrotron-radiation photoemission studies, two types of surface As atoms that have excess in charge in the clean surface served as reaction sites with TMA. Two oxidized states were then induced in the As 3d core-level spectra with chemical shifts of +660 meV and +1.03 eV, respectively.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号