首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The experimental results obtained on the dielectric strength EB of carbon doped silicon dioxide thin films for various film thicknesses using I-V measurements with metal-insulator-semiconductor structures suggest a new relationship between the film thickness d and the dielectric strength EB, i.e. EB∝(ddc)n. This inverse power law relationship indicates the existence of a critical thickness dc which may correspond to an ultimate thickness limit below which the rate of detrapping of electron charges exceeds the rate of trapping and no dielectric breakdown can be observed. The newly obtained inverse power law relationship appears to be general since it is also supported by other published dielectric strength data for both amorphous and polycrystalline polymer thin films.  相似文献   

2.
Looking onto application of low-k and ultra low-k materials within FEOL, high temperature load is one of the major challenges. But also temperature ranges below standard curing conditions are of special interest, e.g. for integration of transparent low-k materials into optical devices due to their small refractive index. In this work the development of the optical, electrical and structural properties of two spin-on MSQ low-k dielectrics over a low-temperature range has been investigated. Incorporation of porosity due to porogen removal for LK2000 causes a different behaviour of the electrical and optical parameters compared to ACCUGLASS™ within the low-temperature range. Both materials show unstable properties which normalize by getting closer to the standard curing conditions. Hydrophobizity of the surfaces is developing at curing temperatures of 400 °C and higher, what agrees to the lowering of the leakage current density. Optical, electrical and structural parameters fluctuate very sensitive on changing the curing temperature, so usage of those materials within a low-temperature range requires a very stable curing process to achieve reproducible material properties.  相似文献   

3.
The use of low-k materials is essential for improving the quality of integrated circuits. Subsequent process steps may however modify this film to the extent that the final result is unacceptable. Organosilicate-based low-k films, with a nominal k-value of 2.3, were exposed to different post-CMP cleaning plasmas used for copper reduction. The resulting plasma damage was investigated and is reported in this paper. All the studied plasmas increased the density of the low-k film. TOFSIMS and FTIR analyses showed that they all removed CH3 groups from the bulk, leading to water incorporation. The carbon depletion was more pronounced and deeper (100 nm) from a NH3 plasma than from any other investigated plasma. N2 + H2 plasma removed somewhat less carbon from the low-k film (83 nm deep). The N2 plasma removed carbon down to a depth of 60 nm into the film, while a pure H2 plasma removed the least carbon of all the investigated plasmas, to a depth of only 35 nm. The combination of TOFSIMS and XPS indicated the incorporation of a significant amount of N in the films treated with the pure N2 plasma. C-V measurements showed an increase of the dielectric constant, again mostly for the NH3 plasmas. There was an intermediate and approximately equal increase of the dielectric constant for all N2 containing plasmas, and the least increase was for the H2 plasma. This increase of the dielectric constant was caused by the increase of density of the film, incorporation of water, and in the case of the N2 plasma also the incorporation of N. This shows that the presence of N2 in plasma may significantly damage low-k materials, and it should not therefore be treated as a mere carrier gas.  相似文献   

4.
The properties of low-k SiCOH film deposited by plasma-enhanced chemical vapor deposition using trimethylsilane are reported here. The deposition process was performed at different temperatures from 200 to 400 °C. The influence of deposition temperature on the films were characterized using Fourier transform infrared spectroscopy (FTIR) to understand its impact on the studied properties. The films were annealed at ∼450 °C in an inert ambient after deposition in all the cases. The deposition rate decreases with increase in deposition temperature. The refractive index of the films increases as a function of deposition temperature. From FTIR spectra, OH-related bonds were not detected in films even when deposited at 200 °C. The Si-CH3 bonds were detected in all the films and decreased monotonically from 200 to 400 °C. All deposition conditions studied resulted in films with dielectric constant less than 3, the lowest being ∼2.7 when deposited at 200 °C. All films exhibited good thermal stability.  相似文献   

5.
We demonstrate a replica-molding method for submicron patterning of a low-index sol-gel nanoporous glass for the purpose of fabricating large-area (∼80 cm2) label-free photonic crystal optical biosensors. Scanning electron micrographs show the sol-gel exhibited minimal shrinkage and good substrate adhesion and depict precise and uniform pattern transfer over the fabricated area within the limits of measurement resolution. A unique characterization approach is described in which the photonic crystal optical resonance is used to accurately and quickly characterize the geometrical and material property uniformity over a large area. Uniformity within 1% was measured over an 80 cm2 area. We suggest that this robust method is an excellent approach for photonic crystal sensor fabrication, and may also find applications in integrated optics and electronics.  相似文献   

6.
For the implementation of copper and low-k materials into a tight pitch damascene interconnect architecture it is important to understand and correctly describe the underlying degradation mechanisms during reliability testing. Based on the understanding solutions can be proposed for avoiding fast degradation. While the physical understanding of electromigration mechanisms is less of a debate, technological challenges towards the fabrication of metal wires/vias able to carry the ever increasing current densities are enormous. Recently a number of novel metallization schemes including ruthenium and its alloys or self-forming barriers were proposed. As a consequence, some of the thermodynamic and kinetic behavior of the system can be modified when compared to the conventional Ta-based metallization. Another important component of the system is the insulating low-k dielectric. When scaling the critical dimensions into 50 nm ½ pitch and beyond, the impact of layout and line edge roughness becomes important. If a double patterning approach is used for printing a tight metal pitch, then misalignment between the different photos will exacerbate the layout induced effects. The choice of dielectric material, test structure design and damascene process steps will contribute on top of these effects. Based on recent understanding we review some aspects of novel metallization schemes and tight pitch copper/low-k interconnects from a reliability standpoint.  相似文献   

7.
Low-k dielectric carbon doped silicon dioxide films 105-1255 nm in thickness, prepared by plasma-enhanced chemical vapor deposition (PECVD) in a six-station sequential deposition system and in a single deposition station, have been investigated for their optical properties using an optical spectrometer coupled with a hot stage. A decrease in refractive index, n, for films with six sub-layers compared with films with a single layer of similar thickness has been observed. This decreased refractive index is thought to be caused by the different effect of crystallinity of the substrate, as a film interface effect is introduced due to the different deposition methods. Both types of PECVD thin films show an increasing refractive index with increasing thickness, which could be attributed to the increased effective density with the increased thickness indicated from Fourier transform infrared spectroscopy microstructure analysis. Cauchy dispersion function is found to be valid for films within all the thickness range and with different deposition methods from visible spectrum to IR spectrum. The refractive index is found to decrease as the temperature increases from 25 to 450 °C at a fixed wavelength for all the films.  相似文献   

8.
Porogen residue (sp2 hybridized carbon) formed during UV curing of low-k materials increases leakage current and decreases breakdown voltage of low-k materials. The amount of porogen residue increases with increasing porosity of PECVD low-k films because of larger amount of co-deposited porogen. Electrical characteristics of PECVD ultra low-k films are significantly worse in comparison with CVD and SOG low-k film prepared without porogen. SOG low-k films prepared by self-assembling of nanocrystalline silica demonstrate very low leakage current. Removal of porogen residue significantly improves the electrical characteristics. Therefore, preparation of porogen residue free low-k films is an important challenge of future scaling of low-k materials.  相似文献   

9.
The effect of deposition methods on dielectric breakdown strength of PECVD low-k dielectric carbon doped silicon dioxide films is investigated. I-V measurements were performed using metal-insulator semiconductor structures for carbon doped silicon dioxide thin films with various thicknesses by single deposition station and six sequential deposition systems. I-t measurements are also performed for films with the thickness of 32 nm prepared using both deposition methods. Comparison studies have been carried out for the thickness dependence, temperature dependence, conduction mechanism and time dependence of dielectric breakdown for carbon doped silicon dioxide with single layer and six sub-layers. Results demonstrated that both films follow the newly obtained relationship between dielectric strength EB and thickness d, i.e. EB∝(ddc)n, but with a lower exponential factor n and a larger thickness limit dc for films with six sub-layers. It is also demonstrated that films with six sub-layers have a higher dielectric strength in all the thickness and temperature ranges, a thickness independent thermal behavior and a longer lifetime under constant voltage stressing. This indicates that by tuning the deposition methods smaller thickness with desired dielectric properties can be achieved.  相似文献   

10.
We review test vehicles and methods that are commonly used for capacitance measurements of low-k films and the general procedure for k-value extractions. We demonstrate that a considerable loss of accuracy may occur if metal-insulator-semiconductor (MIS) planar capacitors are used in high frequency (HF) capacitance-voltage (CV) measurements leading to significant underestimation of the k-value. We show that the lack of accuracy is due to parasitic impedance at the backside connection with the Si substrate and we provide a model. The effect of the parasitic impedance can be minimized by reducing the area of the gate electrode. Alternatively, samples can be provided with an ohmic back contact by means of one of the practical fabrication methods that are described. Quasi-static (Q-S) CV measurements did not exhibit any variation related to backside connection. However, we show that Q-S CV measurements loose accuracy for plasma-damaged low-k films because of increased dielectric leakage. Finally, issues related to capacitance measurements in dry atmosphere are addressed. We show that long (∼hours) transients can take place for plasma-damaged low-k films because of the slow release of water from the material underneath the metal gate, which acts as a cap. As a consequence, extracted k-value can significantly depend on sample resident time in the measurement chamber and on gate dimensions.  相似文献   

11.
HSG-7000 by Hitachi Chemicals Ltd., is a spin-on low-k dielectric offering a dielectric constant of approximately 2.2. It is a silsesquioxane based low-k dielectric with an empirical formula of [CH3-SiO3/2]n. The standard thermal curing for HSG 7000 is at least 30 min at 400 °C with N2 ambient. This paper aims to demonstrate that curing using a low-cost hot plate in atmospheric ambient is possible. The chemical bonding structure will be studied using Raman spectroscopy. The ratios of the areas of the Si-O-Si /Si-CH3 of the Raman bands were used to determine the structure of the different hot plate curing temperatures and time. Results showed that hot plate curing at 425° for 15 min will yield a ratio closest to those cured with the standard furnace process which is predominantly ladder structure. The results also show that the dielectric constant remains essentially constant with different hot plate curing temperatures and time.  相似文献   

12.
The idea of using low-k materials compared to conventional silica (SiO2) poses a large challenge to the back end processes such as wire bonding. In this study, numerical methods such as finite element method is used to characterize the permissible compressive bonding load at the bond pad. Since micro and nano hardness test conventionally use a conical indenter while the wirebond process experiences a spherical indentation, a comparison is made in terms of the force-indentation depth graphs. Results shows that the conical indenter induces early damage and their prediction of the force can be taken as a conservative measure during the wirebond process design. Further a numerical model can be made to verify for its sufficiency to squash the gold ball for a required dimension and to check for any damage within the device. In addition, the strain contours within the device at different metal layers provides better insight to modify the device’s active circuit layout below bond pad to distribute the forces evenly.  相似文献   

13.
For the PMD in a next generation memory device, two kinds of newly developed ultra low-k MSQ materials (k < 2.0) are shown to have good thermal stability, up to 600 °C, while the investigated HSQ (k = 2.9) material degraded at temperatures >500 °C. The thermal stability of the low-k MSQ is correlated with the amount of Si-X (X = H or CH3), the ratio of Si-X to Si-O, and the structure of the Si-O bonds. With PE-SiO2 and PE-SiN capping on HSQ, the k-value of  < 3.0 can be maintained up to 800 °C due to Si-H remaining in the film. Similarly, PE-SiC and PE-SiO2 capping increases the k-value degradation onset temperature of the MSQ materials by 50 °C.  相似文献   

14.
We have investigated the characteristics of Ar/O2 plasmas in terms of the photoresist (PR) and low-k material etching using a ferrite-core inductively coupled plasma (ICP) etcher. We found that the O2/(O2+ Ar) gas flow ratio significantly affected the PR etching rate and the PR to low-k material etch selectivity. Fourier transform infrared spectroscopy (FTIR) and HF dipping test indicated that the etching damage to the low-k material decreased with decreasing O2/(O2 + Ar) gas flow ratio.  相似文献   

15.
In this study, we have prepared surfactant templated mesoporous silica thin films as the ultralow-k dielectrics and a TaNX thin film deposited by plasma enhanced atomic layer chemical vapor deposition (PE-ALCVD) using TaCl5 as the gas precursor was used as the diffusion barrier. Without any surface modification for the dielectric layer, Ta atoms could easily diffuse into the mesoporous layer seriously degrading dielectric properties. O2 and Ar plasmas have been used to modify the surface of the mesoporous dielectric in a high density plasma chemical vapor deposition (HDP-CVD) system, and both of the treatments produced a densified oxide layer a few nanometer thick. According to transmission electron microscopy and Auger electron spectroscopy, the pore sealing treatment could effectively prevent Ta atoms from diffusing into the mesoporous dielectric during the PE-ALCVD process.  相似文献   

16.
Low-k dielectrics prepared by CVD in the form of 200 nm thick layers on Si wafers were thermally treated at 410 °C and irradiated using UV lamps emitting photons of different wavelengths around 172 nm, 185 nm, and 222 nm. The treatment was performed in high vacuum and under a nitrogen atmosphere at various pressures ranging from 0.1 mbar up to 700 mbar. Subsequently, the samples were investigated using FTIR transmission spectroscopy, contact angle measurement, X-ray photoelectron spectrometry (XPS), time-of-flight secondary ion mass spectrometry (TOF-SIMS), X-ray reflectometry (XRR), surface acoustic wave spectrometry (SAW), and purged UV spectroscopic ellipsometry (PUVSE). It was found that for all UV wavelengths applied for curing the depth profiles of the chemical composition were homogeneous. For all properties evaluated, irradiation at wavelengths below 200 nm resulted in more pronounced changes than at longer wavelengths. Generally, a decrease in residual porogen content, conversion of the Si-O-Si bonds from cage to network/suboxide, degradation of Si-CH3 bonds, formation of H-SiO bonds, increase in surface energy, changes of element concentrations and of density, increase in Young’s modulus, and changes in dielectric constant were observed. These findings were confirmed by quantum-chemical calculations. With increasing nitrogen pressure the effects were more considerable. An attempt was undertaken to explain the effect of nitrogen pressure in course of the role of nitrogen molecules as collision partners.  相似文献   

17.
This work investigates the etching characteristics of SiCOH low dielectric constant (low-k) films in the CHF3 13.56 MHz/2 MHz dual-frequency capacitively couple plasma (CCP). The effect of low-frequency (LF) power on etching behavior is analyzed. The results show that the increase of LF power can leads to a transition of etching behavior from films deposition to etching. By Fourier transform infrared (FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) analysis on the etched SiCOH films and optical emission spectroscopy (OES) analysis on the plasma radicals, the transition behavior is found to relate to the suppression of C:F deposition due to the energetic ions sputtering and the increase of F concentration at higher LF power.  相似文献   

18.
The etching damage on nano-clustering silica (NCS) film due to etching radicals was investigated using a method of radical treatments in RIE plasma. NCS coated-side of the wafer was turned downward and put at 0.65 mm above the wafer stage to investigate only the influence of radicals. Etching radicals, which comes from CF4, diffuse into NCS film and reduce Si-CH3 bonds and Si-CH3 loss is proportional to the amount of diffused fluorine in NCS film. Several Si-CH3 bonds are converted to Si-F bonds then. As a result, the low-k performance is degraded and especially the leakage current heavily increases. We proposed a method for estimating the degree of the sidewall damage due to etching radicals using blanket wafers. The degree of sidewall damage is proportional to the value of CR−0.5, where C is the damage diffusion coefficient, which is derived from Si-CH3 decrement ratio from a radical treatment result and R is the etching rate, which is derived from a RIE treatment result under the same plasma condition. The value of CR−0.5 depends on the etching condition and must be decreased as much as possible in order to reduce the sidewall damage during RIE. For example, lower gas pressure, higher RF power, and higher CF4/Ar gas flow ratio were desirable for the sidewall damage reduction.  相似文献   

19.
This work proposes an extended model that describes the propagation of damage in porous low-k material exposed to a plasma. Recent work has indicated that recombination and diffusion play a more dominant role than VUV light [1], [2], [3], [4] and [5] in oxygen plasma induced damage. Especially at low depths, the radical concentration is determined by the number of radicals that disappear back into the plasma while the final depth of damage is defined by recombination of oxygen atoms. A logarithmic equation has been proposed to describe the behavior as a function of time. In this work this equation is extended to take diffusion into account, next to recombination. The results are in agreement with experimental data and one-dimensional random walk theory calculations.  相似文献   

20.
We have investigated the effects of fluoride residue on the thermal stability of a Cu/barrier metal (BM)/porous low-k film (k < 2.3) structure. We confirmed that the Cu agglomerated more on a BM/inter layer dielectric (ILD) with a fluoride residue. To consider the effect of fluoride residue on Cu agglomeration, the structural state at the Cu/BM interface was evaluated with a cross-section transmission electron microscope (TEM) and atomic force microscope (AFM). And the chemical bonding state at the Cu/BM interface was evaluated with the interface peeling-off method and X-ray photoelectron spectroscopy (XPS). Moreover, we confirmed the oxidation of Cu with fluoride in accelerated conditions to clarify the effect of fluoride on Cu. Our experiments suggested that the fluoride residue led to the formation of a metal fluoride, and this accelerated the Cu agglomeration accompanying an increase in Cu oxidation.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号