首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 62 毫秒
1.
提出了一种数字恒定导通时间(COT)控制的DC-DC Buck变换器。通过跨导放大器、流控振荡器(CCO)和数字滤波器对电感电流进行采样,形成电流内环。在基于ADC、PI补偿器的电压外环输出信号上叠加由误差电流、CCO产生的斜坡补偿信号,最终形成双环控制的Buck变换器电路。提出的数字谷值电流模COT控制方法采用数字电流内环和额外的斜坡补偿方式,加快了电路的瞬态响应,同时保持了数字电源高输出精度的特性。该Buck变换器在输入电压5 V、输出电压3.3 V、开关频率1 MHz下进行了仿真验证。仿真结果表明,负载上阶跃和下阶跃响应时间分别为11 μs和17 μs。  相似文献   

2.
提出了一种采用自适应斜坡补偿(ARC)的恒定导通时间控制Buck变换器。引入了两个斜坡电压,实现对电感电流下降斜率的检测;通过负反馈环路调节斜坡斜率,使斜坡斜率跟随电感电流下降斜率的变化。最终斜坡补偿带来的额外极点被固定下来,以便于补偿设计。在此基础上,引入瞬态增强电路,提高了负载阶跃响应速度。在5 V输出电压下,负载从3 A到100 mA阶跃时,输出上冲电压减小了150 mV,恢复时间缩短了10 μs。负载从100 mA到3 A阶跃时,输出下冲电压减小了130 mV,恢复时间缩短了12 μs。  相似文献   

3.
设计了一种基于TSMC 0.18 μm CMOS工艺的快速瞬态响应Buck型变换器。基于电流模COT架构的Buck型变换器,结合电容电流采样电路和负载电流调节器,设计了一种新颖的瞬态增强电路,对负载电流进行补偿,有效地减小了恢复时间,提高了输出电压精度。仿真结果表明,没有瞬态增强电路时,负载电流从0 A跳变到3 A,电流变化率为3 A/10 ns,下跌电压为166.9 mV,恢复时间为5.8 μs;加入瞬态增强电路后,下跌电压变为21 mV,恢复时间变为0.5 μs。没有瞬态增强电路时,负载电流从3 A跳变到0 A,电流变化率为3 A/10 ns,过冲电压为73 mV,恢复时间为3.3 μs;加入瞬态增强电路后,过冲电压变为36 mV,恢复时间变为0.6 μs。  相似文献   

4.
提出了一种用于Buck变换器的开关电流型误差放大器(SC-EA).在Buck结构中,无需片外补偿即可使系统保持稳定,节省了芯片面积,功率密度更高.误差放大器的带宽随开关频率改变而自适应变化,在高频时仍具有较好稳定性和瞬态响应速度.使用开关电流型误差放大器的谷值电流模COT结构实现了片上频率补偿,省掉了片外元件,可实现多...  相似文献   

5.
郭玮  冯全源  庄圣贤 《微电子学》2017,47(4):495-498, 504
针对恒定导通时间(COT)控制架构Buck变换器的开关频率随输入与输出电压变化较大的问题,在COT架构的基础上,引入输入电压前馈,使开关管导通时间与输入电压成反比,同时引入输出电压反馈,使开关管导通时间与输出电压成正比,从而使系统开关频率保持恒定,简化了输出滤波器的设计,减小了电磁干扰。Hspice软件仿真结果表明,导通时间随输入与输出电压的变化而变化,开关频率基本保持恒定。采用此结构的Buck变换器具有极佳的瞬态响应性能。  相似文献   

6.
提出了一种采用单周期输出电压预测(SCOVP)技术的自适应导通时间(AOT)控制Buck变换器。该变换器可以在输入输出电压及负载变化时实现频率恒定,并可设置外部电阻使Buck变换器准确工作在高开关频率下。首先分析了传统AOT控制Buck变换器的开关频率产生漂移的原因,并提出了一种采用SCOVP技术的单脉冲计时器(OST)电路。其次通过单周期占空比预测输出电压信息,并根据预测的输出电压和负载电流补偿TON时间,实现了Buck的频率稳定。该变换器采用0.18μm BCD工艺进行电路设计。仿真结果表明,在2 MHz开关频率下,负载电流从1 A到5 A变化时,Buck变换器的最大频率变化ΔfSW仅13 kHz,负载平均频率变化ΔfSW/ΔILoad为3.24 kHz/A。同时,变换器频率设置准确度从88%提升到99.35%。  相似文献   

7.
本文所设计的BUCK变换器的主电路是降压斩波电路,即把输入的直流电压斩成幅值等于输入电压幅值的脉冲电压。脉冲的占空比由开关电源的控制器来调节。并且利用了自动控制原理的相关知识,利用相位裕度和幅值裕度等相关概念作为衡量标准,设计了超强—滞后校正环节作为补偿环节来稳定输出电压,使输出电压在外界扰动下可以维持稳定。  相似文献   

8.
为减小脉冲关断延迟,提出了一种用于数字峰值电流模Buck的高精度数字脉冲宽度调制器(DPWM)的设计方案。采用粗调与细调相结合的分段式架构思想,粗调部分由全局时钟控制计数器-比较器模块构成,细调部分由锁相环组成的相移电路、计数器-比较器、多路选择器和逻辑门构成,以此产生不同精度的两段式延迟叠加,实现较高的DPWM输出精度。采用Vivado和Xilinx7系列FPGA,仿真并测试了搭载高精度DPWM的Buck。仿真结果表明,DPWM时间分辨率为250 ps,精度为0.01%。此外,测试结果表明,与低精度DPWM相比,设计的高精度DPWM一定程度上抑制了系统的极限环振荡,提高了Buck的环路带宽及系统稳定性。  相似文献   

9.
一种基于锁相环的COT开关频率锁定技术   总被引:1,自引:0,他引:1  
辛杨立  赵倬毅  王卓  程政  贾丽伟  明鑫  张波 《半导体技术》2018,43(7):496-503,528
提出了一种可以在宽频范围内控制恒定导通时间(COT)电流模环路开关频率的锁相环(PLL)电路.电路采用经典电荷泵锁相结构,针对传统COT锁频方案中瞬态频率锁定速度和频率锁定精度性能无法兼顾的问题,通过一个由三极管构成的电流乘法计算单元引入PLL控制和输入电压前馈信息改变计时电容的充电电流,控制开关频率,保证了电路的锁频速度和精度.此外,锁相环的环路参数在宽电压变化范围内不发生变化,简化了频率补偿网络的设计.采用0.25 μm 60 V双极型-CMOS-DMOS (BCD)工艺对电路进行了仿真和流片,芯片面积为2.83 mm2.结果表明,该电路在200 kHz~1.8 MHz的开关频率内均可以实现良好的频率锁定功能,开关频率的波动幅度小于0.2 kHz,验证了设计的正确性.  相似文献   

10.
氮化镓(GaN)材料具有宽禁带宽度、高击穿场强等综合优势,通过对比氮化镓晶体管和硅功率器件参数,说明了氮化镓器件在工作频率和电路效率方面的优势.使用氮化镓晶体管替代硅基功率器件,设计了一款带有同步整流的BUCK变换器.测试结果显示:电路可以在较高的频率下工作,缩小了滤波电路的体积,在轻载时电路进入脉冲跳跃模式,结合氮化...  相似文献   

11.
作为一种基本的DC/DC降压式变换电路,Buck变换器被广泛应用于电机的无级变速与控制。本文基于PSpice软件,对Buck变换器进行参数仿真、对其功耗分析和吸收电路设计方面进行电路仿真。形象化的教学,可以直观观察分析Buck变换器元器件参数的选取对电路性能的影响,为实际Buck变换器设计与研发提供可靠依据。  相似文献   

12.
基于简单拓扑的单相交流降压变换器研究   总被引:3,自引:0,他引:3  
分析了一种新型单相交流降压变换器AC_Buck,该变换器由简单的DC-DC电路Buck衍化而来,仅使用两个功率开关,结构简单,控制简便,可实现交流-交流直接降压变换。文中对该变换器的工作原理进行了详细分析,针对电路存在的功率管换流的关键问题,提出了采用RCD换流电路的解决方案,并对该方案进行了详细分析。通过仿真验证了采用RCD换流电路的AC_Buck变换器的可行性。  相似文献   

13.
徐振  赵晨 《电子科技》2013,26(6):96-99,113
针对数字式控制芯片与模拟式控制芯片在动态响应上有所欠缺的问题,文中对数字式电流型降压变化器的模型进行了分析。指出了合理的斜坡补偿可以使得电流环路稳定,并得到受控电流源。电压采样点会决定系统的延时,在数字系统中,应保证采样的信息在最短的时间内得到使用。仿真和实验的频域和时域的结果都验证了数学模型的合理性。  相似文献   

14.
设计了一种前馈电路,电路产生的前馈信号受温度的影响很小,与电源成比例关系.结合前馈控制和反馈控制各自的特点,实现了一种性能优异的Buck变换器.采用0.6 μm 30 V BCD 工艺模型进行仿真验证.结果表明,基于此前馈电路的Buck变换器,其线性调整率可达到0.375%/V.  相似文献   

15.
冯友宏  关可  陈天琴 《现代电子技术》2007,30(20):154-156,159
针对DC/DC开关变换器存在的非线性混沌现象,利用时间离散映射方法,导出了DC/DC Buck变换器的精确离散模型,研究DC/DC Buck变换器分叉和混沌现象动态演化过程。分析DC/DC Buck变换器的稳定性,最后通过仿真验证了系统的分叉和混沌行为。研究结果表明要使DC/DC Buck变换器工作于稳定状态,可以利用控制电压反馈系数的方法预防和消除分叉及混沌现象的发生。  相似文献   

16.
孟浩  贾晨  陈志良 《微电子学》2007,37(5):692-695,699
设计了一个基于数字PID控制,可为低压系统提供稳定电源的多相位降压型DC-DC控制电路,包括flash ADC、数字PID控制器;并设计了一种新的基于延时单元/计数器的数字PWM电路,实现了一个降压型控制器。电路使用3.3 V CMOS工艺设计,芯片面积为0.16 mm2,输入电压3 V,输出电压1.25 V,负载电流最大800 mA,纹波小于10 mV,开关频率1 MHz,效率最高达到90%。  相似文献   

17.
并联Buck变换器的均流控制技术   总被引:1,自引:0,他引:1  
在并联多相DC/DC变换器中,各模块承受的电流应自动平衡。DC/DC变换器的并联均流是需要解决的关键问题。文中采用基于主从控制的并联Buck变换器为研究对象,通过仿真验证了均流控制技术能使电路具有良好的动态响应。考虑到实际应用中电路开关管会因长期工作在温度较高的情况下而降低使用寿命,采用加入温度控制的均流控制技术,从而达到了温度控制的效果。  相似文献   

18.
在由FPGA超前进位单元级联构成的抽头延时链中,非线性通常较差,是TDC测量系统需要解决的重要问题之一。为了解决该问题,文章在已有的抽头采样序列(“SCSC”)基础上,提出了“混合”抽头采样序列的方法,显著改善了延时单元的非均匀性。所搭建的TDC包含了抽头延时链、采样逻辑电路、编码逻辑电路、码密度校准等模块,并在Xilinx Kintex-7系列芯片上进行验证。测试结果表明,提出的方法相较于“SCSC”序列下的微分非线性降低了32.0%,积分非线性降低了22.8%。通过进一步校准,所实现的TDC分辨率(LSB)为13.51 ps,测量精度为19.17 ps,微分非线性为[-0.45, 0.96] LSB,积分非线性在[-3.27, 1.33] LSB之间。  相似文献   

19.
采用自适应恒定导通时间(Adaptive Constant On-Time,ACOT)控制模式,设计了一种高效的降压型DC/DC变换器。该电路结构简单,无需进行环路补偿,具有瞬态响应快、在全负载段内转换效率高、频率集中等优点。基于0.6μm 16VCD工艺,对设计的降压型DC/DC变换器进行仿真验证。结果表明,该变换器在轻载下的效率高于83%;在全负载范围内,最高效率达到97%;系统的工作频率不随输入电源电压变化,具有快速的瞬态响应速度。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号