首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 125 毫秒
1.
提出了一种用ASIC或FPGA器件实现通用时域数字脉冲压缩器的设计方案,按此方案设计的脉压电路可适应不同压缩比、不同信号形式、双脉冲压缩、时分复用的脉冲压缩等多方面的需求,是一种较好的通用数字脉冲压缩器实现方法,适用于目前各种雷达信号的脉冲压缩。  相似文献   

2.
在线性调频信号脉冲压缩原理的基础上,本文介绍了基于System Generator软件平台在FPGA中实现脉冲压缩的一种方法,同时利用MATLAB对数字脉冲压缩进行仿真对比,结果表明该方法达到了预期的脉压效果。  相似文献   

3.
数字下变频与脉冲压缩一直是雷达信号处理中的关键技术之一。应用现场可编程门阵列(FPGA)的IP核技术,研究了一种基于FPGA的数字下变频与脉冲压缩系统的实时实现方法。首先提出了系统的整体结构,然后介绍了数字下变频模块、脉冲压缩模块及接口模块的设计方法。在单片FPGA上实现了对实际采集的中频Chirp信号进行8K点或2K点可变点数的数字下变频与脉冲压缩处理,通过与Matlab软件计算结果的对比,验证了FPGA实时计算的正确性。最后分析了系统的可实现性与实时性。  相似文献   

4.
陈铠  周海斌  刘刚 《电子工程师》2009,35(2):19-21,48
介绍了基于Xilinx公司FPGA(现场可编程门阵列)实现高速实时数字脉冲压缩处理的设计方法。本数字脉冲压缩模块由3片FPGA级联,分别完成脉冲压缩运算中的FFT(快速傅里叶变换)、复数乘窗和IFFT(快速傅里叶反变换)功能。在Xilinx器件上实现了数字脉冲压缩算法。通过与MATLAB仿真结果比较,该数字脉冲压缩模块很好地实现了32k点的块浮点数字脉冲压缩功能,吞吐率达到200MSPS(百万次采样每秒)。  相似文献   

5.
在脉冲雷达系统中,为了增大雷达探测距离,发射宽的脉冲信号。而宽脉冲会带来雷达距离分辨力下降,使得较近的目标无法分离。为此,采用脉冲压缩技术实现对目标的分辨。在现代雷达系统中通过DSP进行数字脉冲压缩处理,其优点是容易通过算法的改进得到较好的目标识别性能。本文研究脉冲雷达的卷积型数字脉压方法,通过对窗函数频谱和加窗脉压效果关系和不同窗对脉压后的主副瓣影响的研究,提出了一种改进的低副瓣高分辨的数字脉冲压缩方法,其基本原理是:运用频谱主副瓣比较大的窗函数和频谱主瓣较窄的窗函数分别对参考信号进行加窗,利用切趾技术将加窗脉冲压缩后的信号进行最小值处理。实验结果表明了该方法的有效性。  相似文献   

6.
现代雷达信号处理的数字脉冲压缩方法   总被引:3,自引:0,他引:3  
徐玉芬 《现代雷达》2007,29(7):61-64
脉冲压缩技术是雷达信号处理的关键技术之一。文中主要从信号形式、优势和不足、应用场合等方面介绍线性调频、巴克码、多相码、非线性调频等几类常用脉冲压缩信号,提出在时域和频域实现数字脉冲压缩的统一数学模型,推荐了相应的工程实现方法。根据具体雷达的目的和不同类脉压信号的特性,设计最佳脉冲压缩滤波器是提高雷达脉冲压缩性能的关键。  相似文献   

7.
chirp信号脉压旁瓣抑制方法研究   总被引:3,自引:0,他引:3  
抑制脉压旁瓣是脉冲压缩技术的重要课题,文中研究chirp信号脉冲压缩的旁瓣抑制问题,分析了频谱加权法和冲激响应加权法,对两种方法的谱平滑效果、脉冲压缩性能及数字脉冲压缩的量化效应进行仿真研究,得出了一些有意义的结论。  相似文献   

8.
基于CPCI总线,使用FPGA实现了雷达信号处理板的设计与实现。实现数字下变频,大时宽带宽积数字脉冲压缩以及FFT等通用雷达信号处理功能。最后给出了数字下变频和大时宽带宽积数字脉冲压缩在某雷达系统中的测试结果,测试结果满足系统要求。  相似文献   

9.
数字脉冲压缩技术的优点已被普遍承认,制约其应用的一个主要问题是运算量大、设备复杂、成本高。本文针对雷达信号形式的特点,提出了一种基于FPGA器件的适用于中小压缩比情况的时域脉冲压缩器实现方案。  相似文献   

10.
脉冲压缩体制雷达的发射信号通常包括多种线性调频信号,这就要求对回波信号的脉冲压缩处理适应性要强,如果采用定点脉压,不同信噪比的回波信号脉冲压缩结果的截位各不相同,需要分别进行仿真测试,以确定截位的位置,而文章提出一种浮点频域脉压的算法及实现,基于Xilinx FPGA IP核,无需考虑截位,对各种信号适应性强,方便易用。  相似文献   

11.
针对合成孔径雷达系统,提出一种多模式数字接收机频域脉冲压缩模块设计方案。不同模式的脉冲压缩设置了不同的工作周期以及脉冲扫频时宽和带宽,从而实现了不同距离分辨率和探测距离,满足了不同用户的需求。首先在MATLAB平台上完成了对FPGA实现流程的仿真,并对不同模式参数进行了验证。然后在Quartus软件平台下联合Modelsim完成了功能仿真。测试方面,分别利用了放在对FPGA的只读存储器中的MATLAB模拟回波数据和信号发生器产生的模拟回波进行板级测试。仿真与测试结果表明,设计实现了4种模式的中频信号的频域脉冲压缩,并证明了该方案的可行性。  相似文献   

12.
张旭  李巍 《现代电子技术》2011,34(10):74-77
为实现线性调频信号的数字脉冲压缩,设计一个FPGA硬件平台,并着重提出一种基于FPGAIP核的脉冲压缩设计方法。针对脉冲压缩进行了理论分析和Matlab仿真,设计完成后对系统软、硬件进行了全面测试,并根据实测数据对脉冲压缩结果进行了分析。结果表明,该系统可实现1024点的脉冲压缩功能,主副瓣比、主瓣宽度等指标与理论仿真结果一致。该方法的参数设置灵活,可以简化软件设计,缩短研发周期。  相似文献   

13.
以大时宽带宽雷达应用为背景,提出利用FPGA完成高速脉冲压缩的方案。对双通道正交和FPGA实现频域脉压作了详细分析。结果表明,该技术对大时宽带宽雷达实时得到高分辨一维距离像(HRRP)有重要意义。  相似文献   

14.
基于对数脉冲编码调制的语音压缩系统实现   总被引:2,自引:0,他引:2  
曹晓琳  吴平  丁铁夫 《电子工程师》2004,30(9):25-27,49
语音对数脉冲编码调制(PCM)在数字电话通信等大型通用数字传输设备中已得到广泛应用.文中将其引入小型专用语音数字化传输设备中,设计并实现了以TMS320VC5402为核心的语音压缩系统.该系统主要由PCM线性编码器、数字信号处理器(DSP)、现场可编程门阵列(FPGA)、高速静态RAM及Flash存储器等构成,可完成64kbit/s的A律对数PCM的压扩.介绍了对数PCM原理,并给出了系统硬件连接及主要程序流程.  相似文献   

15.
对脉冲压缩技术的原理进行了研究,并在理论分析的基础上介绍某型雷达信号处理系统基于高速通用数字信号处理器TMS320C64x实现数字脉冲压缩,讨论了几个现实问题。给出了相应的硬件框图、软件流程、算法实现及基于所用硬件进行的专门优化,并给出了仿真波形图。该方法经实际应用证明性能可靠,整体性能符合现场要求。  相似文献   

16.
脉冲压缩原理及FPGA实现   总被引:2,自引:0,他引:2  
杨建 《现代电子技术》2010,33(20):17-19
为解决雷达作用距离和距离分辨力的问题,分析了线性调频脉冲压缩的原理及工程实现方法,并利用Matlab软件对加权前后的线性调频信号脉冲压缩波形进行对比。简述了分布式(DA)算法的基本原理,给出一种基于FPGA分布式算法的时域脉冲压缩实现结构,利用QuartusⅡ软件完成脉冲压缩处理模块设计以及波形仿真。通过分析可以得出基于分布式算法实现的脉冲压缩可以减少资源利用率,大大节省硬件资源。  相似文献   

17.
杨守峰  秦庆兵  余开 《电子科技》2015,28(1):57-60,63
现代脉冲体制雷达大部分采用脉冲压缩技术解决距离分辨率与平均功率的矛盾,其工程实现正逐渐由定制硬件向通用硬件发展。文中阐述了数字脉冲压缩技术的基本原理,并给出了基于通用硬件的一种数字脉冲压缩工程实现方法,同时介绍了硬件资源及主要芯片的工作软件流程图,且比较了理论仿真结果和实际工程运算结果,证实了所述工程设计方法的有效性。  相似文献   

18.
简述了脉冲压缩技术的原理以及APEX20KE系列FPGA的特点,给出了基于FPGA实现FFT的结构框图。在此基础上实现实时脉冲压缩的频域算法。该方法具有快速稳定、结构简单、性能价格比较高等特点。  相似文献   

19.
李永松  吕昊  刘玉娇 《电子科技》2015,28(4):139-141,165
针对线性调频信号,分析了噪声调频信号的波形、频谱及其对脉冲压缩雷达的干扰效果。在此基础上,介绍了噪声调频信号的FPGA实现方法,并对产生的噪声调频信号进行了时频分析,直观地展现了噪声调频信号的时频特性,为相关雷达干扰机硬件实现提供了参考。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号