首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 78 毫秒
1.
In this paper, three-dimensional (3D) micro sloping structures were fabricated by ordinary mask pattern and diffraction phenomenon. Especially, we fabricated the structures with SU-8 negative photoresist and substrate penetration lithography. In this method, exposure is performed arranging in order of a mask, a substrate and the SU-8 resist. There is a gap that is equal to the thickness of the substrate between resist and mask. In narrow slit of mask, resist is less exposed than usual because of Fraunhofer diffraction. The amount of exposure depends on slit width so that the height of SU-8 resist can be controlled. A 173 μm height of structure was obtained in the case of 27 μm width slit and 24.2 μm height of structure was obtained in the case of 7.4 μm width slit. By using this method, high aspect ratio 3D SU-8 structures with smooth sloping were fabricated in the length of 100–300 μm and in the height of 50–200 μm with rectangular triangle mask pattern. In the same way, there is influence of Fresnel diffraction on edge of aperture so that micro taper structures were fabricated. A lot of taper structures were fabricated by the method to make the surface repellency. The contact angle was achieved more than 160° in this study.  相似文献   

2.
Conventional three-dimensional (3D) microstructures such as arcs or spiralities are generally fabricated using some complicated methods like LIGA or two-photon lithography. In this paper, a new approach of fabricating 3D microstructures is provided. The process is based on UV-LIGA technology yet including a novel reformation method in the post bake procedure. The fabrication process started with coating SU-8 as thick as 500 microns on the silicon substrate, and then it was followed by an exposure with patterned mask under UV light. Subsequently, a force on the exposed SU-8 photo resist was applied in the post-bake process. By adjusting the amount of force, the way in which the force was placed and the exposure dose, we directly fabricated some complicated three-dimensional structures on the SU-8 photo resist after development of the SU-8. We call this microfabrication method as Force-LIGA (F-LIGA). Firstly, orthogonal experiment method conducted to optimize the hot-press process is presented, and then we give some experiment examples using F-LIGA approach and discuss the relationships among the exposure time, pressure and the profile of microstructures. The fabrication process can be used widely in making useful three-dimensional devices.  相似文献   

3.
Glass is widely used as a structural and functional material in micro-total-analysis-systems. Two low-cost techniques have been used to produce deep and vertical microstructures into glass. A commercially available photosensitive glass (Foturan™) is patterned by photolithography and etched in an HF solution for the construction of a microfluidic component. Channels and reservoirs were bonded to a poly(dimethylsiloxane) cover. A two-level structure with various depths (reservoirs and channels) was also made by a double exposure through two different masks. The other technique uses micro-ultra-sonic machining to form channels by erosion into borosilicate glass (Pyrex 7740). The two structuring techniques are compared with respect to surface profiles and surface states.  相似文献   

4.
This paper presents a simple and effective method for fabricating a polydimethyl-siloxane (PDMS) microlens array with a high fill factor. The proposed method utilizes the UV proximity printing and photoresist replication methods. A concave microlens array mold is made using a printing gap in a lithography process. Optical UV light diffraction of UV light is used to deflect light away from the aperture edges to produce a certain exposure in the photo-resist material outside the aperture edges. This method can precisely control the geometric profile of a concave microlens array. The experimental results show that a concave micro-lens array can be formed automatically in photo-resist when the printing gap ranges from 240 to 720 μm. A high fill factor microlens array can be produced when the control pitch distance between the adjacent apertures of the concave microlens array is decreased to the aperture size.  相似文献   

5.
A new high fill-factor dual-curvature microlens array fabrication method using lithographic proximity printing process is reported. The proposed technology utilizes UV proximity printing by controlling a printing gap between the mask and substrate. The designed microlens array pattern with high density can produce a high fill-factor dual-curvature microlens array in photoresist. Because the UV light diffraction deflects away from the aperture edges and produces exposure in photoresist material outside the aperture edges, this method can precisely control the geometric profile of a high fill factor dual-curvature microlens array. The experimental results showed that the dual-curvature micro-lens array can be formed automatically in photoresist when the printing gap ranged from 360 to 600 μm. The gapless dual-curvature microlens array will be used to enhance the luminance uniformity for light-emitting diodes (LEDs).  相似文献   

6.
A simple and effective method for fabricating a high fill-factor triangular microlens array using the proximity printing in lithography process is reported. The technology utilizes the UV proximity printing by controlling the printing gap between the mask and substrate. The designed approximate triangle microlens array pattern can be fabricated in photoresist. This is because to the UV light diffraction deflects away from the aperture edges and produces a certain exposure in photoresist material outside the aperture edges. This method can precisely control the geometric profile of a high fill-factor triangular microlens array. The experimental results showed that the triangular photoresist microlens array could be formed automatically when the printing gap ranged from 240 to 840 μm. The gapless triangular microlens array will be used to increase the luminance for the backlight module of liquid crystal displays. An erratum to this article can be found at  相似文献   

7.
Out-of-plane microlens, as its in-plane counterpart, is an important micro optics component that can be used in building integrated micro-optic systems for many applications. In earlier publications from our group, an ultra violet (UV) lithography based technique for out-of-plane microlens fabrication was reported. In this paper, we report a replication technology for time-efficient fabrication of out-of-plane microlens made of a curable polymer, NOA73. Microlens of cured SU-8 polymer was fabricated using a unique tilted UV lithography process, polydimethylsiloxane (PDMS) was molded using the resulting SU-8 master to form a negative mold, curable polymer NOA73 was then casted in the PDMS mold and out-of-plane microlens replica made of NOA73 was finally obtained after curing. The entire replication process took less than 5 h. Since PDMS negative mold was reusable, multiple replications of the microlens could be done with the same mold and each replication only took about 30 min. Scanning electron microscopic (SEM) images showed that NOA73 microlens replica had almost identical shape as the SU-8 master. In Comparison to the SU-8 microlens, microlens replica of UV curable polymer had slightly longer focal length and smaller numerical aperture due to the lower refractive index of NOA73. In addition, NOA73 microlens replica also had improved spectral transmission. Because of its compatibility with soft lithography technique, the reported replication process may also be used to integrate out-of-plane microlens into micro-opto-electro-mechanical-systems (MOEMS) and BioMEMS chips.  相似文献   

8.
This paper presents the fabrication of a microchemical chip for the detection of fluorescence species in microfluidics. The microfluidic network is wet-etched in a Borofloat 33 (Pyrex) glass wafer and sealed by means of a second wafer. Unlike other similar chemical systems, the detection system is realized with the help of microfabrication techniques and directly deposited on both sides of the microchemical chip. The detection system is composed of the combination of refractive microlens arrays and chromium aperture arrays. The microfluidic channels are 60 μm wide and 25 μm deep. The utilization of elliptical microlens arrays to reduce aberration effects and the integration of an intermediate (between the two bonded wafers) aluminum aperture array are also presented. The elliptical microlenses have a major axis of 400 μm and a minor axis of 350 μm. The circular microlens diameters range from 280 to 300 μm. The apertures deposited on the outer chip surfaces are etched in a 3000-Å-thick chromium layer, whereas the intermediate aperture layer is etched in a 1000-Å-thick aluminum layer. The overall thickness of this microchemical system is less than 1.6 mm. The wet-etching process and new bonding procedures are discussed. Moreover, we present the successful detection of a 10-nM Cy5 solution with a signal-to-noise ratio (SNR) of 21 dB by means of this system  相似文献   

9.
A novel technique for the fabrication of high aspect ratio three-dimensional (3D) microstructures is presented. A suitable resist (e.g. PMMA or SU-8) is exposed using focused MeV (million electron volt) protons in a direct write process to produce 3D microstructures with sub-micrometer feature sizes. By adjusting the energy of the proton beam, the depth of the microstructures can be controlled very accurately (e.g. between 5 and 160 μm). Single layer SU-8, a newly developed, chemically accelerated, negative tone, near UV, photo-resist, has been used in multiple exposures using different proton energies to produce intricate 3D microstructures. The combination of a well controlled exposure depth coupled with the ability to tilt the sample with respect to the beam increases the manufacturing capability, and allows the production of complex microstructures with well defined edges in single layers of resist. Received: 15 July 1999/Accepted: 30 July 1999  相似文献   

10.
SU-8 has received wide attention in recent years because of its application in the fabrication of high aspect ratio microstructures and devices. This negative resist is known for its excellent lithography properties using ultraviolet light source. As the microfabrication technology based on UV-lithography of SU-8 finds wide applications, a good understanding and characterization of cured SU-8 polymer on various substrate materials are therefore very important. A good adhesion on various substrate materials is essential to both the fabrication process and to the functionality of any final products that have cured SU-8 as part of the structural material. There are very limited studies reported in this important area in the literature. This paper presents a theoretical and experimental work to quantitatively study the adhesion properties of cured SU-8 on some of the most commonly used metallic surface materials. The adhesion strengths of cured SU-8 samples on Au, Ti, Cu, Cr, and Ni coated glass substrates were measured following ASTM-C633 standard. A detailed analysis of the experimental results was also provided based on the atomic structures and electron configurations of the respective metals.  相似文献   

11.
 A novel method by using adjusted ultrasonic agitation to improve the developing depth, developing time, surface roughness, and undercut problem of thick JSR-430N negative UV photoresist is proposed. This method has been successfully employed to fabricate ultra-thick microstructures of thickness more than 1.4 mm and aspect ratio at least of 5 by JSR THB-430N negative UV photoresist. With the improved ultrasonic developing procedure, the resist can potentially be a replacement of SU-8 resist for the application of high aspect ratio plating mold, due to its good stripping property [1]. The power and angle of ultrasonic agitation have also been studied and characterized. The development of thick JSR-430N resist under different ultrasonic agitation angles has been verified to have different effects on developing time, resist sidewall profile, and surface roughness. Received: 10 August 2001/Accepted: 24 September 2001  相似文献   

12.
Microlens fabrication using an etched glass master   总被引:2,自引:0,他引:2  
This paper presents a micromachining technique to fabricate microlenses using an etched glass master. The isotropic etching profile of the glass master was utilized for microlens replication. The master was treated by C4F8 plasma to form a conformal anti-adhesion layer. Lens arrays were replicated on polymer substrates by hot embossing. Microlenses with a large numerical aperture could be fabricated with this method. This work facilitates and simplifies fabrication steps for microlenses.  相似文献   

13.
 This paper reports a novel way to compensate the air gap between photomask and photoresist for eliminating UV light diffraction on photoresist, which greatly increases the sidewall straightness of high-aspect-ratio resist structures. In this research, SU-8 negative tone photoresist was used for experiments, and glycerol was employed as an index match material for bridging air gap between photomask and photoresist during exposure. Results showed that a high aspect ratio wall structure of 156 μm thick and 25 μm wide had a 45% pattern width error when exposed under 100 μm air gap, while glycerol compensated process accomplished a straight resist wall without appreciable error. This method is simple and cheap to employ, compared to the usage of costly thick-photoresist-film spinner for resist planarization. Numerical simulation on the diffraction effect upon the structure wall has also been conducted. The calculated and experiment wall profiles showed similarity in trend. Received: 10 August 2001/Accepted: 24 September 2001  相似文献   

14.
Process research of high aspect ratio microstructure using SU-8 resist   总被引:5,自引:0,他引:5  
SU-8 is a negative, epoxy type, near-UV photoresist. This resist has been specifically developed for ultrathick, high-aspect-ratio MEMS-type applications using standard lithography equipment. However, in practice, SU-8 has shown to be very sensitive to process parameter variation. The orthogonal array was used in our experiments in order to improve the lithography quality and analyze the interaction among the parameters. The analyses show that the interaction between the exposure dose and post exposure bake has played an important role in adhesion between SU-8 resist and the substrate. The proposed process conditions are given. The output structure has straight sidewall profile, fine line and good space resolution. The aspect ratio is larger than 20. Moreover, several metallic films are used as substrates. The Ti film with oxidation treatment was found to have the strongest adhesion to the resist. The result will help to open possibilities for low-cost LIGA-type process for MEMS applications.  相似文献   

15.
 In this paper results are presented from a range of experiments to explore the feasibility of inserting a ceramic material PZT (lead zirconium titanate) into different kinds of high-aspect-ratio resist moulds. Polymethylmethacrylate (PMMA) and SU-8 on silicon substrates and free-standing SU-8 membranes with micro-cavities or through-holes (defined by X-ray lithography) have been used as moulding medium. Processing conditions for the resist materials including pre-bake, exposure, post-bake, development and stripping have been compared. The advantages of different types of resist mould for the LIGA process has been evaluated. Additionally a comparison of photosensitivity of PMMA and SU-8 has been carried out. Using a range of load pressures (5 to 60 MPa), appropriate conditions for PZT embossing into resist moulds have been determined (ensuring minimum void formation in the final PZT structures). In the final form, SU-8 moulds have been removed by laser ablation. This is the first reporting of high-aspect-ratio ceramic microstructures fabricated using a combination of SU-8 moulds and PZT embossing. Received: 10 August 2001/Accepted: 24 September 2001  相似文献   

16.
SU-8 layers of different thickness that were formed by spinning and soft baking or a casting process with a solvent content of not more than 4% were used for experimental investigation of deep UV lithography using modified radiation of a mercury lamp. The specific absorbance of the SU-8 layers have been measured in dependence on the wavelength to calculate the power of the absorbed radiation as a function of depth in the resist layer. The resist layers which were formed on a photo-mask have been exposed with a variation of exposure dose to study the residual thickness of the resist. There are threshold exposures for the formation of insoluble SU-8 resist layer and for the disappearance of shrinkage of the resist layer, which depends on the size of the irradiated area, on microstructure topology and on the resist thickness for fixed parameters of pre- and post-exposure baking. It has been shown that exact filtration of a low-intensity band of exposure radiation at 334 nm allows to reduce strong diffraction distortion in the upper layer of the resist. Microstructures with an aspect ratio of 25 in a SU-8 resist layer of 1 mm thickness have been obtained using a 100 m thick SU-8 resist layer as a filter for the UV radiation and with optimal dose of exposure.  相似文献   

17.
In this study, a mold for a micro-tip array is fabricated using a microlens array mask with proximity exposure. The micro-tip array uses a microlens array mask with geometrical optics. Light passing through a microlens is focused at the focal points. There is microlens on the mask and the pattern that results from the light passing through the mask is directly projected onto the photoresist surface. A concave profile is developed using a positive photoresist and the remaining photoresist microstructures are formed after the development process. By changing the distance between the mask and the photoresist and the radius of curvature of the microlens, various tip shapes can be fabricated. The exposure gap is calculated using the microlens array mask and the geometry of the mold of micro-tip array is established using the irradiance absorption maps for the different levels. These methods respectively use the model of the positive photoresist and optical software. When electroforming a metallic micro-tip copy of the patterned photoresist, masters are created. The metal micro-tip array is used membrane probe card.  相似文献   

18.
SU-8胶微结构的尺寸公差研究   总被引:1,自引:0,他引:1  
对SU-8胶微结构的尺寸及其公差进行了定量研究.在考虑了SU-8的吸收系数和折射系数对紫外光刻尺寸精度影响的基础上,根据菲涅耳衍射理论建立了紫外曝光改进模型和尺寸公差模型,对SU-8微结构的尺寸及其公差进行数值模拟.以硅为基底,进行了SU-8胶紫外光刻的实验研究.实验中掩模的特征宽度分别取50 μm、100μm、200μm和400 μm,SU-8胶表面的曝光剂量分别取400mJ/cm2和800mJ/cm2,测量了SU-8胶微结构的顶部线宽、底部线宽和SU-8胶的厚度,数值模拟结果与实验结果基本吻合.可以用本文的模型来预测SU-8微结构的尺寸及其公差.  相似文献   

19.
This paper presents a method and an ultra-violet (UV) lithography system to fabricate high-aspect-ratio microstructures (HARMS) with good sidewall quality and nice dimension control to meet the requirement for industrial high throughput and high yield production of micro devices. The advantages, equipment, working principle of UV projection scanning exposure, and scanning exposure strategies are introduced first. Following the numerical simulation for the UV projection scanning exposure of thick SU-8 photoresist, experiment results are demonstrated for different exposure strategies. With Continually Changing Focus Projection Scanning (CCFPS), SU-8 microstructures with 860 μm high and 15 μm feature size are demonstrated. For microstructure with 866 μm height, 20 μm width, from the top layer to the bottom layer, the dimension can be controlled in the range of +0.7 to ?1.7 μm; also, the vertical sidewall angle can be controlled inside 90 ± 0.16°. It approves that the CCFPS exposure for HARMS can achieve much straighter and more vertical sidewall compared with UV contact print or UV projection exposure with focusing image on the resist surface or an optimized depth.  相似文献   

20.
De-molding is one of the most crucial steps for successful mass production of high aspect ratio microstructures in microreplication technologies of LIGA process. With a proper taper angle in mold structure, normal contact pressure on the structure will be abated; this will facilitate the de-molding procedure and at the same time prevent the microstructures of mold from being damaged. However, in the case of UV lithography, the top area of the patterned SU-8 resist is observed to be larger than the bottom area especially in cases of thick layer and high aspect ratio structures. In order to obtain an applicable metal mold for hot-embossing process, we purpose here several novel methods with backside exposure which can fabricate different taper angles with proper direction on the mold structures easily. In this paper, we described the technology concept, process details and related experimental results both in mold structures and molded PMMA replicas. In addition, various interesting 3D microstructures can be produced by combining these exposure methods. On-chip microneedle arrays were selected to demonstrate this ability.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号