首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
本文概要地论述微机控制SPWM变频调速系统的原理;SPWM波形形成和输出方法,以及实验结果,SPWM波形由三角形载波与正弦波比较得一系列交点,这些交点决定逆变器的形状时刻和脉冲宽,利用计算机将SPWM波通过逆变器输出、则可得到具有一定U/f特睡的SPWM变频电源。  相似文献   

2.
基于TMS320F240的SPWM调制信号的实现   总被引:3,自引:0,他引:3  
研究使用TMS320F240在线生成用于中小功率的逆变器的SPWM波形。利用同步调制法、对正弦函数值的查表,实时计算采样周期、电压幅值及输出脉宽,并将这些时间计数值送入TMS320F240事件管理器EV中的定时器,利用定时中断向接口电路送出相应的高低电平,实时产生SPWM波形。此方法可广泛的用于电力变换器与VVVF交流电机变频调速控制系统。  相似文献   

3.
三种SPWM波形生成算法的分析与实现   总被引:1,自引:0,他引:1  
变频技术作为现代电力电子的核心技术,集现代电子、信息和智能技术于一体.而SPWM(正弦波脉宽调制)波的产生和控制则是变频技术的核心之一.本文对SPWM波形生成的三种算法--对称规则采样法、不对称规则采样法和等效面积法分别加以分析,并通过高精度定点32位DSP微处理器TMS320F2812在线生成SPWM波形.实验表明采用对称规则采样法产生的SPWM波形.具有速度快、变频方便等优点.采用等效面积法产生的SPWM波形具有精度高、输出波形谐波小,对称性好等优点.不对称规则采样法的性能介于二者之间.  相似文献   

4.
针对单相全桥逆变器主电路中大功率MOSFET开关频率不能太高的要求,分析了双极性SPWM的调制原理,利用AVR微控制器ATmega16A-pu特性,实现了双极性SPWM分段同步调制方式。在此基础上开发了太阳能逆变器,实验表明,该样机在满足逆变器开关频率条件下,输出波形稳定、失真小。  相似文献   

5.
设计了一种基于STC15单片机的SPWM单向逆变电源。逆变电源由正弦波脉宽调制(SPWM)模块、IR2109驱动电路、全桥电路、LC低通滤波电路构成,在负载上得到稳定的正弦波交流电。SPWM控制技术能够实时、准确地达到变频控制要求,且逆变器输出电压谐波分量少。实测结果表明单向逆变电源可以输出完整的正弦波,且输出电流大于2A,电压大于60 V,具有广阔的应用前景。  相似文献   

6.
基于DSP的SPWM变频调速系统的分析与设计   总被引:1,自引:0,他引:1  
分析和设计了采用TMS320LF2407型数字信号处理器(简称DSP)内部自带的事件管理模块中的比较单元,运用规则采样SPWM算法来输出高精度的三相SPWM波形的交流电动机变频调速系统,从而实现逆变器的SPWM控制。通过此方法实现的SP-WM交流电动机变频调速系统,充分利用了DSP的高度集成化、数字化、高速运算功能和丰富的片内外设资源,给出了SPWM交流电动机变频调速系统结构图和软件设计方案。  相似文献   

7.
dsPIC及其在交流变频调速中的应用研究   总被引:1,自引:0,他引:1  
介绍dsPIC30F4012芯片,研究dsPIC30F4012芯片在交流变频调速中的应用。本系统使用交—直—交电压型主电路,采用SPWM技术的规则采样法,选用dsPIC形成SPWM波;使用智能功率模块PW100CVA120作为逆变器主电路,给出基于dsPIC的全数字实现及其实验波形。  相似文献   

8.
本文介绍了DSP56803芯片作为控制单元在变频变压电源设计中的应用.重点说明SPWM驱动信号的生成、输出正弦电压信号频率的开环调节和幅度的闭环调节.通过实验电路试运行,使用示波器给出信号波形及参数,并给出应用结果.  相似文献   

9.
本文介绍了DSP56803芯片作为控制单元在变频变压电源设计中的应用。重点说明SPWM驱动信号的生成、输出正弦电压信号频率的开环调节和幅度的闭环调节。通过实验电路试运行,使用示波器给出信号波形及参数,并给出应用结果。  相似文献   

10.
针对频率可调中频开关电源,采用TMS320LF2812定点32位DSP芯片,通过规则采样法产生三相SPWM波控制三相逆变器,实现频率可调的正弦电压输出.通过PI算法实现三相正弦电压幅值可调的闭环控制,并给出了系统的硬件组成和软件设计.  相似文献   

11.
新型全数字SPWM波形发生器的设计与实现   总被引:2,自引:2,他引:0  
梁玉红 《微计算机信息》2003,19(11):68-69,90
本文介绍一种利用单片机、可编程逻辑器件CPID和波形存储嚣EPROM组成基于DDFS技术的高精度、宽变频高载波、全数字SPWM波形发生嚣。介绍SPWM波形的数字计算方法以及采用CPLD构成DDFS控制嚣和波形存储嚣EPROM实现的波形控制技术。  相似文献   

12.
文中基于FPGA设计了一种新型的三相SPWM波的实时生成方法。该方法以Xilinx公司的Spartan-3E系列FP-GA芯片XC3S500E作为控制核心,结合直接数字频率合成技术(DDS),利用VHDL语言实时生成三相SPWM波形。通过三个相位互差120°的正弦调制波与一个三角载波进行比较来产生三相SPWM脉冲信号,由两者的交点来确定逆变器开关时刻,其中载波频率、载波比以及死区时间可变,使生成的三相SPWM波适应性强。通过Modesim和数字示波器验证了利用FPGA实时生成三相SPWM波的可行性,为该方法进一步应用提供了一个良好的开放平台。  相似文献   

13.
在高速数据芯片中,TMS320F240最适合于SPWM控制,由它来控制逆变器的6个开关器件,实现SPWM变频调速。文章简要介绍了该芯片的基本结构和特点、以及SPWM变频调速的原理和电主轴频率转换调节系统的主逆变电路。经实验和实际应用证明,TMS320F240功能很强,运算速度很快,适用于三相交流电机的控制。  相似文献   

14.
基于面积等效法的SPWM发生器的设计   总被引:1,自引:0,他引:1  
依据冲量效果不变理论,本文提出了采用直接面积等效法计算三相SPWM波的方法,并基于FPGA设计了SPWM发生器.电路简单可靠,编程方便.仿真结果表明用该方法所产生的PWM波形精度高,并且输出波形的频率可变,能很好地满足变频调速系统的要求.  相似文献   

15.
游昊  艾钊 《数字社区&智能家居》2014,(27):6515-6516,6552
在SPWM逆变器中,影响逆变器波形输出的几个主要因素有温漂、死区效应以及稳压反馈。这些因素的控制的情况影响逆变器输出基波电压、谐波成分,输出波形的毛刺情况以及反馈电路的稳压效果,从而直接影响逆变器的稳定性。文章对温漂效应、死区效应进行研究,通过对硬件中不同电路模块的改进,并在此基础上对其电路模块进行仿真,同时通过搭建SPWM硬件驱动模块进行验证,从而论证此研究基础上的SPWM逆变器的优势。  相似文献   

16.
基于BP神经网络的SPWM逆变器控制仿真研究   总被引:2,自引:1,他引:1  
采用控制和实现方法是决定单相SPWM逆变器输出波形质量和动态性能的主要因素。在分析逆变器常规PID控制方法优缺点的基础上,针对带非线性负载和负载跳变的单相SPWM逆变器,输出波形畸变较大,动态性能差和THD值较高的缺点,提出了一种基于BP神经网络自整定PI双闭环控制方案,并用MATLAB软件工具进行了仿真验证。仿真实验结果表明,方法能同时实现逆变器的高精度稳态输出波形、低的总谐波畸变率和快速动态响应性能,适用于感应电源、UPS不间断电源等需要高性能输出电压波形的场合。  相似文献   

17.
张彦兵  宁媛  袁浩 《工业控制计算机》2013,(12):140-141,144
主要研究了正弦波逆变器设计中的关键技术——SPWM调制控制,并搭建了硬件电路进行验证。系统升压部分,采用具有电气隔离特性的反激变换器,进行设计。通过单片机产生SPWM控制算法,驱动IGBT全桥电路,进行DC-AC变换;再经LC滤波,得到50Hz的正弦波形电压。由此,SPWM控制的离网型逆变器在硬件平台上得以验证。  相似文献   

18.
单元串联变频器中多路SPWM的CPLD实现   总被引:2,自引:2,他引:0  
本文提出了一种基于多片CPLD得多路SPWM波形的生成方法.详细的论述了SPWM波生成机理和试验系统硬件结构.实验结果表明、该方法确实可行.输出SPWM波形完美.接近正弦波.驱动系统良好.运行可靠、平稳.  相似文献   

19.
本文提出了一种基于多片CPLD得多路SPWM波形的生成方法。详细的论述了SPWM波生成机理和试验系统硬件结构。实验结果表明、该方法确实可行。输出SPWM波形完美。接近正弦波。驱动系统良好。运行可靠、平稳。  相似文献   

20.
本文介绍了SPWM的基本原理以及MSCl211和SPWM产生器SA8282的基本特性,详细介绍了系统总体结构及软硬件设计.实验表明该系统结构简单、输出波形好、性能稳定可靠,实现了逆变电源输出电压闭环控制.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号