首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 171 毫秒
1.
曹生明 《电子工程师》2008,34(11):46-48
基于双CPU共享RAM技术,从经济实用的角度出发,提出了一种利用单端口存储器构建双端口共享存储器的方法。根据62256单端口存储器的工作原理和结构设计特点,利用单向缓冲器芯片74ALS244对存储器的地址总线、控制总线进行扩展,利用双向缓冲器芯片74ALS245对存储器的数据总线进行扩展,存储器的地址线、数据线和控制线分别由单路变为双路,再通过选通信号实现对各路总线的读写控制。经扩展的单端口存储器可实现双端口存储器的全部功能,具有成本低、容量大、性能稳定的优点,可广泛应用于硬件设计。  相似文献   

2.
片上系统是使用共享或专用总线作为芯片的通信资源.由于这些总线具有一定的限制,因此扩展性较差,不能满足发展需求.在这种情况下,目前的片内互连结构将成为多核芯片的发展瓶颈.文章介绍了一种新型的片上体系结构(片上网络)来解决未来片上系统中总线所带来的不足.片上网络作为一种新的片上体系结构,可以解决片上系统设计中所带来的各种挑...  相似文献   

3.
一种面向嵌入式应用的片上系统:腾跃-1   总被引:3,自引:1,他引:2       下载免费PDF全文
王蕾  陆洪毅  王进  戴葵  王志英 《电子学报》2005,33(11):2036-2039
本文介绍了面向嵌入式应用的片上系统芯片:腾跃-1的设计和实现技术.该芯片包括32位嵌入式RISC微处理器内核、通用存储器控制器、LCD控制器、片上总线和各种外围设备.微处理器内核采用自主设计的指令集体系结构.该芯片已经在中芯国际0.18μm工艺上通过验证,主频300MHz@1.8V,可以应用于信息安全领域的身份认证和数据加密等应用.本文最后对芯片的进行了性能评测.  相似文献   

4.
陈虎  董会宁  范逵  董健 《通信技术》2009,42(6):210-213
为了解决AHB片上总线有限带宽的问题,文中在其基础上,介绍了一种交叉互连矩阵结构的多层AHB总线,并从各子模块设计、以及各子模块之间的相互通信描述了多层AHB总线设计及其实现。最后对其进行系统级仿真,此总线结构极大地提高了片上系统传输带宽。  相似文献   

5.
介绍了SoC可测性设计中的几个重要问题。包括在一般功能模块的扫描可测性设计中,如何实现对时钟、复位端、双向端口、芯片内部三态总线的控制,如何处理组合反馈环、锁存器、不同时钟沿触发的触发器、影子逻辑;以及在片上存储器内建自测试设计中,如何选择自测试的结构和算法。并结合一款基于ARM的SoC给出了实际可测性设计中具体的解决方法。  相似文献   

6.
毕卓 《电子工程师》2007,33(8):24-27
目前集成电路设计方法学的主要目标已经从如何减少芯片面积转变到如何提高设计效率。片上互连作为基于平台的SoC(片上系统)设计方法学的核心部件越来越受到重视。回顾了PC架构总线的发展历史,认为串行、分层和采用数据协议是此类计算机系统互连发展的方向;分析了现有的两种具有代表性的片上总线,认为简单、灵活、低功耗是片上互连的特点,指出现有片上总线在全局同步时钟、数据吞吐量等方面的问题。最后介绍了片上网络提出的背景、现有成果及发展方向。  相似文献   

7.
《现代电子技术》2016,(16):83-87
针对多核处理器的特点提出一种新型的异构多核DSP处理器结构。主处理器为通用处理器,作为控制密集型处理器核用于系统管理和控制;8个DSP作为计算密集型处理器核,用于大信息量融合计算。详细设计8个DSP之间的No C互连结构。首先采用2×4 2D Turos结构进行单个路由节点结构的设计,包括数据包格式、路由和仲裁设计;其次对路由节点进行编码、路由算法设计和确定节点路由方向。该结构具有总线局部通信带宽高的优点,采用No C的易扩展性和No C在各DSP之间通信的并行性使系统规模易于扩展并满足大批量数据传输要求。最后通过仿真实验,验证了该设计的有效性,为后续多核处理器的设计与实现打下坚实的技术基础。  相似文献   

8.
程鹤  邹俊 《变频器世界》2011,(9):105-109
本文提出了基于FPGA嵌入式系统控制开关磁阻电机(SRM)的控制方法,以微处理器软核MicroBlaze作为主控制器,以Xilinx公司提供的通用IP核设计出系统外围设备,用Verilog HDL硬件语言设计出专用的逻辑控制模块,经过CoreConnect片上总线通信链,实现了在一块FPGA芯片上完成了SRM的控制算法策略和外围接口逻辑电路,大大增加的系统的可靠性和性价比。最后以Xilinx公司SPARTAN3E系列的FPGA进行了设计,验证了设计的正确性和可行性。  相似文献   

9.
层次化片上网络结构的簇生成算法   总被引:3,自引:1,他引:2       下载免费PDF全文
王宏伟  陆俊林  佟冬  程旭 《电子学报》2007,35(5):916-920
半导体工艺的发展及嵌入式电子产品复杂度的不断增长,系统芯片互连结构的吞吐量、功耗、信号完整性、延迟以及时钟同步等问题更加复杂.基于总线的片上通信结构不足以提供良好的通信能力,出现了以片上网络为核心的通信结构.本文提出了层次化片上网络设计中,根据实现工艺和应用需求,进行层次划分的簇生成算法.实验表明,通过使用该算法,能够有效的分配系统芯片的内部通信,提高系统性能,降低硬件实现开销,同时满足一定的服务质量需求.  相似文献   

10.
基于Tsi148的PCI—VME总线接口设计   总被引:1,自引:0,他引:1  
VME总线是一种开放式工业计算机总线,在VME总线多主处理计算机系统中,通常需要进行PCI总线与VME总线接口互连。这里分析了业界最先进的PCI—VME总线互连芯片Tsil48的原理与功能,介绍Tsi148在VME总线智能模块中进行PCI—VME接口互连的方法,给出通过本地PCI总线接口进行Tsi148初始化和VME系统配置的软件流程。实践表明,采用Tsi148进行PCI—VME互连设计,实现了VME总线的高速数据传输和控制功能,并在实际应用中取得了良好的效果。  相似文献   

11.
片上网络拓扑结构的研究   总被引:3,自引:1,他引:3  
随着SoC体系结构设计复杂度的提高,传统的总线结构已成为IP核之间通信的瓶颈。为了满足大规模集成电路发展对扩展性、能耗、面积、时钟异步、重用性、QoS等方面的需求,新的设计方法—片上网络(NoC)应运而生,它是对原有设计模式的一次革新。本文分析了NoC的技术特点以及在该领域中的关键技术,详细地对NoC中常见的拓扑结构进行了分类研究,并指出了每种拓扑结构中的优点与不足;然后通过分析每种拓扑结构的性能参数,从而对其性能进行综合的比较。  相似文献   

12.
A dramatic increase in single chip capacity has led to a revolution in on-chip integration. Design reuse and ease of implementation have became important aspects of the design process. This paper describes a new scalable single-chip communication architecture for heterogeneous resources, adaptive system-on-a-chip (aSOC) and supporting software for application mapping. This architecture exhibits hardware simplicity and optimized support for compile-time scheduled communication. To illustrate the benefits of the architecture, four high-bandwidth signal processing applications including an MPEG-2 video encoder and a Doppler radar processor have been mapped to a prototype aSOC device using our design mapping technology. Through experimentation it is shown that aSOC communication outperforms a hierarchical bus-based system-on-chip (SoC) approach by up to a factor of five. A VLSI implementation of the communication architecture indicates clock rates of 400 MHz in 0.18-/spl mu/m technology for sustained on-chip communication. In comparison to previously-published results for an MPEG-2 decoder, our on-chip interconnect shows a runtime improvement of over a factor of four.  相似文献   

13.
We present here a technique for allocation and binding for data path synthesis (DPS) using a Genetic Algorithm (GA) approach. This GA uses an unconventional crossover mechanism relying on a force directed data path binding completion algorithm. The data path is synthesized using some supplied design parameters. A bus-based interconnection scheme, use of multi-port memories, and provision for multicycling and pipelining are the main features of this system. The method presented here has been applied to standard benchmark examples and the results obtained are promising  相似文献   

14.
非直角互连——布线技术发展的新趋势   总被引:4,自引:1,他引:3  
由于集成电路制造工艺的不断提高 ,集成电路的设计规模遵循Moore定律持续向前发展 ,并出现了系统级芯片 (SOC)这一新的集成电路设计概念 .同时遇到的困难之一是互连线成为影响电路性能的决定因素 :芯片速度变慢、功耗增大、噪声干扰加剧 .若采用以往基于直角互连结构的基础模型进行互连线性能的优化 ,其能力受到限制 .于是 ,人们试图采用其他互连结构作为突破途径 ,以实现高性能的集成电路 .在这种技术需求与目前工艺支持的背景下 ,从 2 0世纪 90年代初出现的关于非直角互连的零散的、试探性的研究 ,将成为国际上布线领域新的热点研究方向 .  相似文献   

15.
Timing analysis of network on chip architectures for MP-SoC platforms   总被引:1,自引:0,他引:1  
Recently, the use of multiprocessor system-on-chip (MP-SoC) platforms has emerged as an important integrated circuit design trend for high-performance computing applications. As the number of reusable intellectual property (IP) blocks on such platforms continues to increase, many have argued that monolithic bus-based interconnect architectures will not be able to support the clock cycle requirements of these leading-edge SoCs. While hierarchical system integration using multiple smaller buses connected through repeaters or bridges offer possible solutions, such approaches tend to be ad hoc in nature, and therefore, lack generality and scalability. Instead, many different forms of network on chip (NoC) architectures have been proposed in the past few years to specifically address this problem. We believe that the NoC approach will ultimately be the preferred communication fabric for next generation designs. To support this conjecture, this paper demonstrates, through detailed circuit design and timing analysis that different proposed NoC architectures to date are guaranteed to achieve the minimum possible clock cycle times in a given CMOS technology, usually specified in normalized units as 10-15 FO4 delays. This is contrasted with the bus-based approach, which may require several design iterations to deliver the same performance when the number of IP blocks connected to the bus exceeds certain limits.  相似文献   

16.
随着芯片集成制造工艺的日益发展,拥有多级Cache的片上多处理器(CMP)已成为桌面应用和高端计算的主流平台.为了优化程序在CMP下运行性能,文中以Pin工具软件为基础,提出并设计了一个面向CMP体系架构的多级Cache访问模拟器——CCSim.该模拟器不仅可以模拟同构CMP下传统方式的Cache访问,而且还可以对CMP中最后一级共享Cache的竞争访问以及非传统方式的Barcelona式Cache访问模式进行模拟分析.  相似文献   

17.
Performance of deep-submicrometer very large scale integrated (VLSI) circuits is being increasingly dominated by the interconnects due to decreasing wire pitch and increasing die size. Additionally, heterogeneous integration of different technologies in one single chip is becoming increasingly desirable, for which planar (two-dimensional) ICs may not be suitable. This paper analyzes the limitations of the existing interconnect technologies and design methodologies and presents a novel three-dimensional (3-D) chip design strategy that exploits the vertical dimension to alleviate the interconnect related problems and to facilitate heterogeneous integration of technologies to realize a system-on-a-chip (SoC) design. A comprehensive analytical treatment of these 3-D ICs has been presented and it has been shown that by simply dividing a planar chip into separate blocks, each occurring a separate physical level interconnected by short and vertical interlayer interconnects (VILICs), significant improvement in performance and reduction in wire-limited chip area can be achieved, without the aid of any other circuit or design innovations. A scheme to optimize the interconnect distribution among different interconnect tiers is presented and the effect of transferring the repeaters to upper Si layers has been quantified in this analysis for a two-layer 3-D chip. Furthermore, one of the major concerns in 3-D ICs arising due to power dissipation problems has been analyzed and an analytical model has been presented to estimate the temperatures of the different active layers. It is demonstrated that advancement in heat sinking technology will be necessary in order to extract maximum performance from these chips. Implications of 3-D device architecture on several design issues have also been discussed with special attention to SoC design strategies. Finally some of the promising technologies for manufacturing 3-D ICs have been outlined  相似文献   

18.
As technology scales toward deep submicron, the integration of complete system-on-chip (SoC) designs consisting of large number of Intellectual Property (IP) blocks (cores) on the same silicon die is becoming technically feasible. Until recently, the design-space exploration for SoCs has been mainly focused on the computational aspects of the problem. However, as the number of IP blocks on a single chip and their performance continue to increase, a shift from computation-based to communication-based designs becomes mandatory. As a result, the communication architecture plays a major role in the area, performance and energy consumption of the overall systems [Pasricha S, Dutt N. On-chip communication architectures: system on chip interconnect. Amsterdam: Elsevier Inc.; 2008, Kim J, Verbauwhede I, Chang MCF. Design of an interconnect architecture and signaling technology for parallelism in communication. IEEE Trans VLSI Syst 2007;15(8):881-94].This article presents a structure of a wrapper as a component of Code Division Multiple Access, CDMA, based shared bus architecture in a SoC. Two types of wrappers can be identified, master and slave. A master wrapper is located between the arbiter and CDMA coded physical interconnect, while a slave connects the CDMA coded bus with memory/peripheral module. In the proposal, only bus lines that carry address and data signals are CDMA coded. We implemented a pair of master-slave wrapper described in VHDL and confirmed its functionality using testbenches. Also we synthesized wrappers using a Xilinx Spartan and Virtex devices to determine resource requirements in respect to a number of equivalent gates, communication bandwidth, latency and power consumption. Specifically we involved a Design_Quality, DQ, metric for wrapper performance evaluation. A pair of master-slave wrapper seems to occupy appropriate space, in average 2000 equivalent gates, considering CPU cost of about 30,000 gates, what is less than 8% of hardware overhead per CPU. We also present experimental results which show that benefits of involving CDMA coding relates both to decreasing a number of bus lines and accomplishing simultaneous multiple master-slave connections at relatively low-power consumption and high communication bandwidth. Convenient range indices RW and RR to determine data transfer rate for Write and Read operations in multiprocessor bus systems that use TDMA and CDMA data transfer techniques. The obtained results show that increased data transfer latencies involved by CDMA data transfer are compensated by simultaneous master-slave transfers.  相似文献   

19.
李鹏 《电子科技》2014,27(4):135-137,142
分布式并行计算的发展对嵌入式系统互联技术提出了更高的要求,RapidIO可提供芯片间、板间的高性能互联,传输效率高于PCIE和千兆以太网。文中给出了一种基于RapidIO的双主机节点嵌入式系统互联的设计方案、硬件设计及其软件实现,并对系统功能和性能进行验证。验证结果表明,该系统性能稳定、可靠,并为新一代高性能嵌入式系统互联提供了良好的解决方案。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号