首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到16条相似文献,搜索用时 78 毫秒
1.
基于CPLD的线阵CCD驱动电路设计与实现   总被引:6,自引:0,他引:6  
设计和开发了一种线阵CCD驱动电路.该电路主要采用了复杂可编程逻辑器件(CPLD),充分发挥其"可编程"的技术特性,为用户提供了丰富的接口信号.介绍了该驱动电路的主要特性、工作原理和驱动时序的设计思想.实验结果表明:该驱动电路完全满足设计要求,当将其集成到其它测量电路中时,整个测量系统可正常工作,且测量精度满足要求.  相似文献   

2.
胡丽  宋文爱  杨录 《电子测试》2011,(10):51-54
以典型的线阵CCD图像传感器件TCDl32D为例,设计和开发了一种线阵CCD驱动电路。电路主要采用了复杂可编程逻辑器件(CPLD),充分发挥其"可编程"的技术特性,为用户提供了丰富的接口信号。介绍了该驱动电路的主要特性、工作原理和驱动时序的设计思想,阐述了逻辑设计原理,给出了CPLD实现电路和时序仿真图形.验证了CPL...  相似文献   

3.
郭焱 《电子质量》2013,(10):13-16,27
CCD驱动时序电路的设计实现是其应用的关键问题。该文在分析TCD1209D线阵CCD的工作原理和驱动时序等特性的基础上,提出了一种基于CPLD的线阵CCD驱动电路的设计方法,其中选用MAXII系列CPLD作为硬件设计平台,运用VHDL语言设计驱动时序电路。该设计使用ouartusII软件对所设计的驱动程序进行了仿真,仿真与实验结果表明该方案设计可行,电路结构简单,集成度较高,实用性强,并具有一定通用性。  相似文献   

4.
文中基于复杂可编程逻辑器件设计一款高分辨率的线阵CCD信号采集系统。利用Verilog硬件描述语言进行了CPLD控制模块以及逻辑单元的程序设计,由图像专用A/D芯片中的相关双采样等特殊功能,实现了对CCD输出信号的噪声处理和模数转换,通过USB2.0接口实现了计算机终端采集和控制指令的实时传输。采用CPLD的设计方法具有驱动时序精确、采样速率快、抗干扰性强和输出信号稳定等特点。仿真结果证明,系统总体性能较好,上位机能正确显示采集到的CCD数据,噪声在允许的范围内,在不同的工作环境下,系统性能稳定。  相似文献   

5.
本文介绍了线阵CCD的时序逻辑,分析了时序发生器的组成原理及工作过程,并详细论述了基于ispLSI1016、利用Synario对CCD时序发生器进行的设计、编译,且进行了功能仿真。  相似文献   

6.
为实现对面阵CCD的驱动,采集实时图像,设计了电源驱动和数据转换系统。系统采用复杂可编程逻辑器件(CPLD)对一款薄型背照式面阵CCD进行驱动。使用Verilog硬件描述语言(HDL)编写CPLD控制模块,控制CCD的信号采集、信号转移和信号传输。根据CCD的数据手册,设计CCD所需的电源,以便对其进行驱动。利用A/D芯片中的相关双采样(CDS)特点,对输出的视频信号进行处理,过滤视频信号中的复位噪声和1/f等低频噪声,提高系统的信噪比。该系统采用CPLD作为核心控制器件,充分利用了CPLD高速并行且"可编程"的特点,和CCD对环境变化的高度敏感,使得信号采集和传输的速率均较快,且输出视频信号稳定。  相似文献   

7.
选用Kodak公司生产的大面阵行间转移型CCD(电荷耦合器件)芯片KAI-2093作为数码摄像机的图像传感器,介绍了其内部结构和工作原理,探讨了基于可编程逻辑器件FPGA用于对CCD驱动电路设计的方法和实现途径。基于KAI-2093的驱动时序和VHDL语言,给出了部分驱动时序的程序。结果表明本设计各项参数及指标均符合实际工作需要。此方法也可适用于其他类型的CCD驱动电路的设计。  相似文献   

8.
基于CPLD的高速面阵CCD驱动电路设计   总被引:6,自引:0,他引:6  
着重介绍了基于CPLD来设计产生高速面阵IA-D1CCD芯片复杂驱动时序和整个CCD相机的电子系统控制逻辑时序。同时采用CCD视频处理专用集成芯片处理CCD输出信号,提高了图像信噪比,改善了图像质量。使用结果表明:该硬件电路结构简单、成本低廉、可靠性高、功耗较低,并满足了工程项目小型化的要求。  相似文献   

9.
基于CPLD的全帧型CCD图像传感器驱动系统设计   总被引:1,自引:0,他引:1  
CCD芯片的驱动系统是数字航测相机的核心部分,它关系到整个相机的性能和技术指标.这里介绍了高分辨率全帧CCD芯片FTF4052M的内部结构和驱动时序.采用集成芯片设计该CCD芯片的驱动电路,并应用于数字航测相机系统.实验结果表明,该CCD驱动系统采用CPLD进行设计,具有性能好,功耗低,体积小的优点,满足了数字航测相机系统的设计要求.  相似文献   

10.
基于FPGA的线阵CCD驱动设计   总被引:1,自引:1,他引:0  
崔岩  吴国兴  殷美琳  陆惠  顾媛媛 《现代电子技术》2011,34(10):206-207,210
电荷耦合器件(CCD)作为一种新型的光电器件,被广泛地应用于非接触测量。而CCD驱动设计是CCD应用的关键问题之一。为了克服早期CCD驱动电路体积大,设计周期长,调试困难等缺点,以线阵CCD图像传感器TCD1251UD为例,介绍一种利用可编程逻辑器件FPGA实现积分时间和频率同时可调的线阵CCD驱动方法,使用Verilog语言对驱动电路方案进行了硬件描述,采用QuartusⅡ对所设计的时序进行系统仿真。仿真结果表明,该驱动时序的设计方法是可行的。  相似文献   

11.
CCD(电荷耦合器件)作为一种应用广泛的新型半导体光电器件,驱动时序电路的实现是其应用的关键问题。提出了基于CPLD(复杂可编程逻辑器件)实现CCD驱动电路的方法。选用Al-tera公司的MAX7000S系列CPLD作为硬件设计平台,运用VHDL对驱动时序电路进行了描述,并给出了部分程序,采用Altera公司的QUARTUSⅡ软件对所设计的驱动程序进行了仿真,并用数字示波器观察输出波形。测量和仿真的结果证明是可行的。  相似文献   

12.
电子倍增CCD驱动电路设计   总被引:1,自引:1,他引:1  
提供了一种针对电子倍增CCD(EMCCD)驱动电路的设计方案。通过FPGA编程产生符合EMCCD时序要求的信号波形,采用EL7457高速MOSFET驱动芯片对FPGA输出信号进行电平转换以满足EMCCD驱动电压要求,并由分立的推挽放大电路驱动高电压信号,输出电压20~50 V可调,像素读出频率达5 MHz。实验结果表明,该驱动电路能够使EMCCD正常工作输出有效信号。  相似文献   

13.
针对e2v公司的CCD67 Back Illuminated NIMO型CCD,对其驱动能力进行详细的分析;选用LM117T和LM317T设计CCD所需的偏置电压;DS0026来完成设计CCD驱动器;AItera公司的可编程逻辑器件EPF10K30RI240-4来设计CCD的驱动时序.实验结果表明,设计的CCD驱动电路可以满足CCD的各项驱动要求.  相似文献   

14.
以Atmel公司的面阵CCD-TH7888A图像传感器为例,在研究了CCD结构和驱动时序图的基础上提出基于FPGA的驱动脉冲设计方法和硬件电路实现。使用VHDL语言对驱动时序发生器进行了硬件描述,并采用Quartus5.0对设计的驱动时序发生器进行仿真。试验结果表明,涉及的驱动电路可以满足面阵CCD-TH7888A的各项驱动要求。  相似文献   

15.
在透射电子显微镜相机的研制中,针对SONY行间转移面阵CCD ICX285AL图像传感器,设计了一款基于CPLD的面阵CCD驱动电路。以Altera公司的CPLD芯片EPM570T100作为时序发生器产生CCD驱动信号和相关双采样控制信号,并搭建了驱动器电路和直流偏压电路。在QuartusⅡ13.1开发环境下利用Verilog HDL语言编程,并利用Model Sim SE 10.1进行仿真测试。实验结果表明,以CPLD为核心的驱动电路能够产生符合CCD要求的驱动脉冲和偏置电压,可稳定地输出CCD视频信号。  相似文献   

16.
TDI CCD相机系统设计   总被引:1,自引:0,他引:1  
采用Kodak CCD芯片研制了一整套完整的具有TDI功能的CCD相机系统.分析了CCD相机TDI的工作原理,运用CPLD编写了Pixel驱动,AD转换,数据锁存等时序,采用USB2.0进行数据传输,编写图像采集及相机控制程序.在移动轨道上进行TDI扫描实验,采集图像信息,并对结果进行分析.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号