首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 6 毫秒
1.
Evaluation of diffusion barrier integrity is an important issue in advanced interconnects. A diffusion barrier separating Cu from low-k must be as thin as possible and must not contain pinholes. We have developed a method for measuring pinhole density in diffusion barriers deposited on low-k materials. The method employs ellipsometric porosimetry for measuring diffusion of toluene in a porous low-k film beneath the barrier in question.  相似文献   

2.
In this work the compatibility of MOCVD TiN barrier films on porous SiO2 aerogel as low-k dielectric was investigated. The continuity, roughness, and sheet resistance, Rs, of the barrier as well as the electrical properties of the aerogel were investigated. A continuous TiN barrier on uncapped and PECVD SiN capped aerogel exists at 30 and ≤20 nm, respectively. The high surface roughness of the TiN is caused by the aerogel layer. TiN penetration into uncapped aerogel was detected in the interface region, whereas capped low-k material shows no interaction with the barrier film.  相似文献   

3.
单兴锰  肖夏  刘亚亮 《半导体学报》2010,31(8):082002-5
激光激发声表面波技术探测薄膜的杨氏模量是一种快速、准确、无损的方法。在薄膜表面,声表面波通过激光短脉冲与介质材料之间的热弹作用被激发。在分层结构的薄膜上传播时,表面波会发生色散现象,通过对实验测得的表面波色散曲线和理论计算的到的色散曲线进行匹配,可以获得薄膜的杨氏模量。在本实验中,采用紫外波段激光脉冲可以产生具有很宽频谱范围的表面波信号,色散曲线的范围可达180 MHz。应用声表面方法对生长于Si(100)衬底上,具有不同厚度的低k纳米多孔黑钻石绝缘薄膜的杨氏模量进行了成功探测。  相似文献   

4.
This work investigates the Cu diffusion in SiCOH low dielectric constant films treated by O2 plasma. By capacitance–voltage and current-voltage measurement, and thermal stress analysis, it is found that the O2 plasma surface treatment of SiCOH films can lead to the decrease of flatband voltage shift ΔVFB, the increase of activation energy Ea, and the decrease of leakage current. The small ΔVFB and lower leakage current indicate the weak Cu diffusion. The increase of active energy means the reducing of fast Cu ions surface diffusion through the interconnected pores structure of the film. Hence, the Cu diffusion in SiCOH films can be reduced by O2 plasma treatment. By FTIR and AFM analysis on the bonding configuration and microstructure, the reduce of Cu diffusion is related to the increase of Si-O cages and networks, which makes more open pores sealed at the surface of SiCOH films.  相似文献   

5.
A novel methodology is developed that uses a combination of high energy ion scattering, x-ray reflectivity, and small angle neutron scattering to characterize the structure and properties of porous thin films. Ion scattering is used to determine the elemental composition of the film for absolute intensity calibration of the x-ray and neutron scattering techniques. X-ray reflectivity is used to measure the average electron density and film thickness. Small angle neutron scattering is used to determine the pore size, structure, and connectivity. Combining information from all three techniques, the film porosity and matrax material density can be uniquely determined.  相似文献   

6.
In this paper, the 5 nm ZrN diffusion barrier was deposited by high vacuum magnetron sputtering method on Si substrate and the 300 nm Cu(Zr) alloy film or Cu film was sputtered on ZrN barrier without break vacuum. The self-formation graded Zr/ZrN diffusion barrier was obtained by annealing Cu(Zr)/ZrN bilayer system in N2/H2 (10% H2) atmosphere. The X-ray diffraction (XRD) and four-point probe method were used to study graded Zr/ZrN diffusion barrier. The results revealed that the self-formation Zr barrier and ZrN barrier all obviously improved the thermal stability of Cu/Si system.  相似文献   

7.
In this study, the film properties of Cu and a Ta-based diffusion barrier deposited on organic polymer and SSQ-based low-k materials with subtractive porosity were investigated. Emphasis was put on the effects of exposure of the low-k materials to the dry etch plasmas prior to metal deposition. The metal film properties were influenced by the type of the dry etch plasma chemistry used and by the porosity of the low-k material. Thermal desorption spectra (TDS) obtained during annealing of these metal films revealed an increased amount of species with m/e 44, attributed to CO2, and H2O desorbing from the Cu film at high temperatures. The TDS data for the Ta film did not contain such high temperature desorption peaks for these species mentioned. Surface morphology of the Cu and Ta films observed by scanning electron microscopy (SEM) and atomic force microscopy (AFM) also showed a poor wetting of the metal films on the porous low-k materials that have been dry etch plasma treated.  相似文献   

8.
Ultrathin Ru-Ti alloy, Ru-N and Ru-Ti-N films were fabricated as diffusion barriers to Cu metallization. The thermal stability, phase formation, surface morphology and atomic depth profile of the Cu/Ru-Ti(10 nm)/Si, Cu/Ru-N(10 nm)/Si and Cu/Ru-Ti-N(10 nm)/Si structures after annealing at different temperatures were investigated. Comparing to the single Ru layer, both N doping and Ti alloying improve the thermal stability and diffusion barrier properties to Cu. The Cu on the Ru-Ti layer has better morphology than Cu on the Ru-N layer, while the Ru-Ti-N layer has the best thermal stability and has great potential to be applied as a single layer diffusion barrier.  相似文献   

9.
A non-stoichiometric silicon oxide film has been deposited by evaporating SiO as a source material in Ar and O2 mixed gas. The film is composed of SiO and SiO2, and has a porous structure. The SiO2 results from some part of SiO reacting with O2 and its amount depends on the pressure in the chamber. The residual SiO in the film can be photo-oxidized into SiO2 by ultraviolet radiation with a Hg lamp. The dielectric constant of the film after photo-oxidation is 1.89±0.04 (at frequency of 1 MHz), which shows that this porous structure film is promising for potential application as a low-k dielectric.  相似文献   

10.
A novel, multilayered Ti/TiN diffusion barrier is proposed and successfully applied for Al metallization. The multilayered Ti/TiN structure is effective in enhancing the barrier properties since the very thin Ti layer inserted into titanium nitride (TiN) barrier can cause disruption of the TiN columnar growth and reduction of open grain boundaries resulting in retarded interdiffusion between metal and silicon. Multilayered Ti/TiN films are deposited sequentially by sputtering without breaking vacuum. It is found that TiN grain boundaries are discontinuous when a Ti layer is inserted into TiN. Multilayered Ti/TiN has a better barrier performance than single-layer TiN in Al metallization. However, the barrier performance is related to the number and thickness of the inserted Ti layers, because increasing titanium will enhance chemical reactions between Al and barrier layers, and produce more titanium-aluminum compounds. The total thickness of introduced Ti layers should be reduced to improve barrier performance.  相似文献   

11.
The importance of interface quality in the single damascene integration process of LKD5109™ porous low-k films is investigated. A strong correlation is observed between chemical mechanical planarization (CMP) performance and LKD/cap layer interfacial fracture energies. The use of FF02™ as cap layer material (an on-purpose developed spin-on organic hard-mask) on LKD leads to superior interfacial adhesion and metal continuity yield as compared to the use of chemical vapour deposition SiC:H cap films. The adhesion quality of LKD/liner films appears less critical than LKD/cap layer adhesion as far as CMP performance is concerned. Electrical line-to-line performance is not always directly correlated with adhesion but rather, more generally speaking, with interface quality (i.e., presence of defects/dangling bonds or moisture). The introduction of surface pre-treatments to enhance interfacial adhesion leads to degradation in both leakage current and breakdown field behaviour because of damage induced at the interface.  相似文献   

12.
The W-based diffusion barriers W, WC and WCN barriers were investigated for Cu metallization. The thermal stability of the W, WC and WCN barriers was compared by X-ray diffraction and four point probe. It shows comparable stability for the W and WC barriers while the ternary WCN barrier has superior performance. The agglomeration of the Cu films (100 nm) on these barriers is quite different. The formation of voids was observed for the annealed copper film on the WC or WCN barriers and the activation energy values determined from Kissinger equation are low comparing with Cu on W barrier. Twins were also observed in the as-deposited and annealed Cu films on the WC and WCN barriers. The twin formation and its correlation with void formation for Cu films onto the C-containing diffusion barrier were discussed through the stress relaxation and stress-induced vacancy migration mechanism.  相似文献   

13.
Zirkon™ LK2000 version 1 dielectric film (Zirkon™ is a trademark of Shipley Company L.L.C), a porous methylsilsesquioxane (MSQ)-based spin-on dielectric with a k value targeted at 2.0, has been integrated in single damascene structures. For patterning, a dual SiC/SiO2 CVD hard-mask was used. Surface treatments (DUV ozone (DUV-O3), plasma treatments) were tested to solve the adhesion issues encountered at the CVD hard-mask and the low-k interface. Adhesion is only improved when plasma treatments are used. Analyses (FTIR, TDS, nano-indentation) show that the plasma treatments only modify the low-k surface. For integration, a plasma treatment (He, NH3, N2/O2) prior to deposition of the CVD hard-mask was included. After patterning, copper metallization and CMP of the wafers, electrical evaluation shows that, compared to the reference wafer (no plasma treatment), plasma-treated wafers have a higher yield and a lower sheet resistance. The RC delay is slightly higher for the plasma-treated wafers than for the reference wafer.  相似文献   

14.
Carbon free TaNx films were deposited by plasma enhanced atomic layer deposition (PEALD) using a combination of pentakis(dimethylamino)Ta (PDMAT) and either N2 or NH3 plasma. Good linearity and saturation behavior were observed for the TaNx films grown with NH3 plasma while non-ideal saturation features were observed for the films grown with N2 plasma. The thermal stability of the TaNx films could be improved by reducing the pressure of the reactants and by increasing the plasma exposure time. The TaNx films deposited using N2 plasma exhibit better diffusion barrier properties than the films deposited using NH3 plasma.  相似文献   

15.
Low-k interconnection is one of the key concepts in the development of high-speed ultra-large-scale integrated(ULSI) circuits.To determine the Young’s modulus of ultra thin,low hardness and fragile low-k porous films more accurately,a wideband differential confocal configured laser detected and laser-generated surface acoustic wave(DCC/LD LSAW) detection system is developed.Based on the light deflection sensitivity detection principle, with a novel differential confocal configuration,this DCC/LD LSAW system extends the traditional laser generated surface acoustic wave(LSAW) detection system’s working frequency band,making the detected SAW signals less affected by the hard substrate and providing more information about the thin porous low-k film under test.Thus it has the ability to obtain more accurate measurement results.Its detecting principle is explained and a sample of porous silica film on Si(100) is tested.A procedure of fitting an experimental SAW dispersion curve with theoretical dispersion curves was carried out in the high frequency band newly achieved by the DCC/LD LSAW system.A comparison of the measurement results of the DCC/LD LSAW with those from the traditional LSAW shows that this newly developed DCC/LD LSAW can dramatically improve the Young’s modulus measuring accuracy of such porous low-k films.  相似文献   

16.
Certain silicide phases are not very suitable as interconnects or contacts, since they normally grow non-uniformly with rough interfaces. In certain cases this limitation can be surmounted when the normal growth mechanism is altered by the use of a particular diffusion barrier layer. It is the purpose of this paper to show how an understanding of the basic silicide growth mechanisms together with the effect of the diffusion barrier on them, would extend the range of suitable silicides available for device fabrication.  相似文献   

17.
Ultrathin Vanadium nitride (VN) thin film with thickness around 10 nm was studied as diffusion barrier between copper and SiO2 or Si substrate. The VN film was prepared by reactive ion beam sputtering. X-ray diffraction, Auger electron spectroscopy, scanning electron microscopy and current-voltage (I-V) technique were applied to characterize the diffusion barrier properties for VN in Cu/VN/Si and Cu/VN/SiO2 structures. The as-deposited VN film was amorphous and could be thermal stable up to 800 °C annealing. Multiple results show that the ultrathin VN film has good diffusion barrier properties for copper.  相似文献   

18.
Formation of Ti diffusion barrier layers in Thin Cu(Ti) alloy films   总被引:1,自引:0,他引:1  
In order to study a formation mechanism of thin Ti-rich layers formed on the surfaces of Cu(Ti) wires after annealing at elevated temperatures, the 300-nm-thick Cu(Ti) alloy films with Ti concentration of 1.3 at.% or 2.9 at.% were prepared on the SiO2/Si substrates by a co-sputter deposition technique. The electrical resistivity and microstructural analysis of these alloy films were carried out before and after annealing at 400°C. The Ti-rich layers with thickness of ∼15 nm were observed to form uniformly both at the film surface and the substrate interfaces in the Cu(2.9at.%Ti) films after annealing (which we call the self-formation of the layers) using Rutherford backscattering spectrometry (RBS) and transmission electron microscopy (TEM). Both the resistivities and the microstructures of these Cu(Ti) films were found to depend strongly on the Ti concentrations. The resistivities of the films decreased upon annealing due to segregation of the supersaturated Ti solutes in the alloy films to both the top and bottom of the films. These Ti layers had excellent thermal stability and would be applicable to the self-formed diffusion barrier in Cu interconnects of highly integrated devices. The selection rules of the alloy elements for the barrier self-formation were proposed based on the present results.  相似文献   

19.
Electromigration and electrical breakdown are two of the most important concerns in the reliability of modern electronic devices. The electromigration lifetimes and electrical breakdown field (EBD) in single damascene copper lines/porous polyarylene ether (PAE) dielectric with different diffusion barrier materials (i.e., amorphous-SiC:H and TaN/Ta) were studied. The results showed a “wafer edge effect” in both groups of samples. The electromigration lifetime of samples taken from the center of the wafer is five to nine times longer of those taken from the wafer edge in the accelerated test. The samples from wafer edge showed a bi-modal failure characteristic. It was also found that electromigration resistance of the structure with new diffusion barrier a-SiC:H/Ta was comparable to that with the conventional TaN/Ta. On the other hand, the electrical testing showed that EBD of the a-SiC:H/Ta structure is about twice of that with TaN/Ta barrier, indicating a significant improvement of the electrical performance.  相似文献   

20.
Electroless deposited NiP and NiCoP thin films were studied for their diffusion barrier properties for copper wiring in ultra-large scale integration (ULSI) technology. The thermal stability of the Si/NiP/Cu and Si/NiCoP/Cu structures was evaluated by X-ray diffractometer (XRD), four probe method and field emission-scanning electron microscope (FE-SEM). Results indicated that both structures, i.e. Si/NiP/Cu and Si/NiCoP/Cu are thermally stable up to 500 °C. Further annealing results in formation of various silicided phases.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号