首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 114 毫秒
1.
陈磊  段淋  王峰  陈颖琪 《通信技术》2007,40(11):1-3
文中提出一种可变参数,纠错能力可调的连续输入的RS编码器。该编码器在做到对通信系统中不同数据传输率的实时编码的同时,还具有较高的硬件利用率和提高系统集成度减小功耗.在所提出的结构基础上,完成了硬件模块设计,并进行了仿真和FPGA实现.用VerilogHDL对系统进行了硬件描述,在Xilinx平台上Virtex2系列XCV1000芯片上,在ISE8.1环境下实现了可变码率的RS实时编码功能.  相似文献   

2.
基于FPGA的RS编码器的设计与实现   总被引:2,自引:0,他引:2  
RS码是线性分组码中一种典型的纠错码,既能纠正随机错误,也能纠正突发错误.在现代通信领域越来越受到重视.文中介绍基于FleA使用Verilog-HDL语言的RS(15,9)编码器的设计方法,并在QuartusII 5.0软件环境下进行了功能仿真,仿真结果与理论分析相一致,该设计方法对实现任意长度的RS编码有重要参考价值.  相似文献   

3.
随着集成电路设计规模的不断扩大,SOC设计的时代已经到来。SystemC作为一种新兴的SOC设计语言,它本质上是在C++的基础上添加的硬件扩展库和仿真核,这使得SystemC可以建模不同抽象级别的包括软件和硬件的复杂电子系统。在C++环境中,它支持软件、硬件和接口描述。利用SystemC的这些特性实现了对UART的建模,并用波形图验证了仿真结果。  相似文献   

4.
以FPGA为硬件平台,通过具体计算确定了系统相关所有参数,介绍了一种DDS系统的详细设计方法,详细分析了DDS各个功能模块的设计流程,并给出部分仿真结果。依据此设计流程可扩展运用于不同的信号需求,具有一定的功能扩展性并已多次通过QuartusⅡ软件平台在FPGA上调试通过。调试结果证明,该方法可行有效,且产生信号具有精度高、频率变换快速、输出波形失真小等优点。  相似文献   

5.
殷烽华  陈进 《通信技术》2003,(12):97-98
随着集成电路工艺的飞速发展,传统的设计方法已不能满足设计高集成度的复杂数字系统的要求。软硬件协同设计成为嵌入式系统设计的新方法。SystemC是一种兼容C++的系统建模语言,它同时支持RTL级、行为级和系统级描述,使其成为软硬件协同设计平台的基础。  相似文献   

6.
针对高级语言做处理器建模在模型精度方面的不足,本文探讨了一种基于SystemC的周期精确级DSP处理器建模方法.在分析各流水段功能的基础上,结合SystemC的语言结构特点,对流水级内各功能模块进行了抽象建模.该模型能够精确地模拟处理器指令的执行情况,对软件算法的设计优化和处理器微结构的探索具有一定的参考价值.  相似文献   

7.
8.
罗昉  翁良科  尹仕 《电子工程师》2002,28(8):30-33,44
介绍了一种基于Verilog-HDL描述的多功能步进电机控制芯片的可综合方案,该方案可方便地构成两相双极或四相单极步进电机的控制系统。外接元件极少,只需给定时钟、转向以及工作模式信号,就可使系统工作。该方案采用模块化设计,可扩展性好,为步进电机的低成本控制提供了一种新的思路和实现方法。  相似文献   

9.
对SystemC进行系统级通信结构建模的语言元素进行了深入的研究,并以一个基于AMBA总线的典型SoC为例进行了通信结构的建模.从设计的顶层开始,应用SystemC语言提供的建模能力,采用通信结构细化方法对系统级总线模块进行了细化,针对设计流程中需要将系统级模型转换为可综合的RTL级模型的问题,给出了将系统级总线通信模块转换为SystemCRTL模型的解决方法.由此,建立了完整的应用SystemC的系统级通信结构的建模方法.  相似文献   

10.
介绍了一种基于FPGA的多轴控制器,控制器主要由ARM7(LPC2214)和FPGA(EP2C5T144C8)及其外围电路组成,用于同时控制多路电机的运动。利用Verilog HDL硬件描述语言在FPGA中实现了电机控制逻辑,主要包括脉冲控制信号产生、加减速控制、编码器反馈信号的辨向和细分、绝对位移记录、限位信号保护逻辑等。论文中给出了FPGA内部一些核心逻辑单元的实现,并利用QuartusⅡ、Modelsim SE软件对关键逻辑及时序进行了仿真。实际使用表明该控制器可以很好控制多轴电机的运动,并且能够实现高精度地位置控制。  相似文献   

11.
当今复杂电子系统倾向于在更高抽象级进行建模,一种基于C/C++的硬件描述语言,SystemC语言变得非常重要.在此探讨了一种基于SystemC属性检查的仿真验证方法.针对电路系统的线性时态逻辑属性,定义了属性表达的基本形式,并用SystemC代码描述系统属性,在仿真中检查系统属性从而达到验证目的.首先介绍SystemC语言及一种基于SystemC的属性检查方法,讨论了现有方法的不足之处,并给出了两种改进方案,最后通过实验证实该方案的有效性,同时实验表明该改进方案在仿真性能上有很大的提高.  相似文献   

12.
本文主要介绍了一种LPC核的设计,按LPC(Low Pin Count)总线协议设计,具有模块化、兼容性和可配置性,适用于SOC芯片集成.通过实现一个配置寄存器,使LPC核具有可配置性.功能仿真的结果表明LPC核能够满足设计要求以及功能的正确性.  相似文献   

13.
变参数RS编码器IP核的设计与实现   总被引:1,自引:1,他引:0  
设计了一种码长可变、纠错能力可调的 RS编码器。该 RS编码器可对常用的 RS短码进行编码 ,可做成 IP核 ,为用户提供了很大的方便 ;采用基于多项式乘法理论 GF( 2 m)上的 m位快速有限域乘法的方法 ,提高了编码电路的运算速度 ;同时给出了程序仿真结果 ,并在 Xilinx的 FPGA上进行了硬件验证。  相似文献   

14.
基于SystemC的片上系统设计   总被引:1,自引:8,他引:1  
文章提出了基于SystemC的片上系统设计方法.本设计方法引入SystemC,消除了一直存在于系统级设计和硬件设计之间的语言隔阂,基于SystemC进行的系统功能定义能够方便有效地映射为硬件实现部分和软件实现部分,大大地提高了SOC时代集成电路设计效率.  相似文献   

15.
AVS解码器基于SystemC的实现   总被引:2,自引:0,他引:2  
介绍了AVS视频编解码标准的关键技术和新一代硬件设计语言SystemC的特点以及利用SystemC进行软硬件协同设计的方法,并在此基础上介绍了AVS视频解码器基于SystemC的设计和实现。  相似文献   

16.
随着集成电路技术的快速发展。处理器的速度越来越快,存储器的性能越来越好,单芯片上集成的功能部件越来越多.但是处理器跟存储器之间以及存储器跟外设之间的瓶颈却越来越严重。直接存储器存取(Direct Memory Access,DMA)方式是大多数处理器中普遍采用的提高数据传输速率的方法之一。在分析了多种高性能处理器中DMA控制器工作原理的基础上.采用系统级设计语言SystemC,设计并实现了一个多功能的可配置多通道DMA控制器。  相似文献   

17.
基于FPGA的卷积码译码器设计   总被引:1,自引:1,他引:0  
针对目前卷积码译码器占用资源较多,最高工作频率较低的缺点,设计了基于FPGA的(2,1,8)卷积码译码器。该译码器采用硬判决维特比译码算法。为有效提高译码器的工作频率,采用寄存器存储路径度量和幸存路径。通过分析译码启动过程中状态转移图上各个状态与其前一状态的关系,找到了硬件实现该过程的一种简单方法。通过分析译码过程中各个状态路径度量值之间的差值的变化规律,找到了采用硬判决维特比译码算法时,存储各个状态路径度量值的寄存器的最小位宽。在Quartus2集成开发平台上用Verilog HDL语言编写了译码器的源代码,并进行了编译、综合、仿真。结果表明所设计的卷积码译码器工作频率高,且输出时延小,占用资源较少。具有一定的实用价值。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号