首页 | 官方网站   微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
An experimental digital image sensor that converts analog video signals into 8-bit digital signals for each unit pixel, and reads out the signals at an operating clock of standard TV has been developed. Each pixel is equipped with a photodiode, a 1-bit analog-to-digital converter (1-bit ADC), an 8-bit pulse counter, and a signal processing circuit. The sensor system displays a two-dimensional (2-D) image in real time. The 1-bit ADC has a dynamic range of 110 dB at an operating voltage of 1.3 V. “Knee” characteristics and the results of an investigation into random noise sources in the circuit are also described  相似文献   

2.
A biomorphic digital image sensor   总被引:2,自引:0,他引:2  
An arbitrated address-event imager has been designed and fabricated in a 0.6-/spl mu/m CMOS process. The imager is composed of 80 /spl times/ 60 pixels of 32 /spl times/ 30 /spl mu/m. The value of the light intensity collected by each photosensitive element is inversely proportional to the pixel's interspike time interval. The readout of each spike is initiated by the individual pixel; therefore, the available output bandwidth is allocated according to pixel output demand. This encoding of light intensities favors brighter pixels, equalizes the number of integrated photons across light intensity, and minimizes power consumption. Tests conducted on the imager showed a large output dynamic range of 180 dB (under bright local illumination) for an individual pixel. The array, on the other hand, produced a dynamic range of 120 dB (under uniform bright illumination and when no lower bound was placed on the update rate per pixel). The dynamic range is 48.9 dB value at 30-pixel updates/s. Power consumption is 3.4 mW in uniform indoor light and a mean event rate of 200 kHz, which updates each pixel 41.6 times per second. The imager is capable of updating each pixel 8.3K times per second (under bright local illumination).  相似文献   

3.
A new active pixel sensor for X-ray digital imaging using amorphous silicon thin-film transistors (a-Si TFTs) is proposed. Simulation results show that this new APS structure is fully capable of compensating for variations in threshold voltage (V/sub T/) of a-Si TFTs under prolonged gate voltage stress.  相似文献   

4.
An 80×60 pixels arbitrated address-event imager has been designed and fabricated in a 0.6 μm CMOS process. The output bandwidth is allocated according to the pixel's demand. The imager has a large dynamic range: 200 dB (pixel) and 120 dB (array). The power consumption is 3.4 mW in uniform indoor light. The imager is capable of 8.3 K effective frames per second  相似文献   

5.
A computational image sensor is proposed in which the pixel controls its integration time to light intensity. The integration time of each pixel is selected from among several lengths of integration time and the integration time is shortened if the pixel intensity becomes saturated. Although the integration time of each pixel varies, the pixel intensity is adjusted on the sensor in real time. The dynamic range of the pixel value output from the proposed sensor is greatly widened. A prototype of 64/spl times/48 pixels has been fabricated by using 2-poly 2-metal 0.8-/spl mu/m CMOS process. The proposed sensor has simple functions for the comparison of intermediate integration value and threshold to control the integration time and nonlinear image reconstruction. Because the maximum number of the comparison-reset operations during a frame is three, one of the four integration times can be selected pixel by pixel. The circuit and layout design of the prototype which has computational elements based on column parallel architecture are described and the fundamental functions have been verified. By the experiments, it has been verified that the sensor can achieve a wide dynamic range by adapting to light.  相似文献   

6.
高帧速CCD摄像器件的设计   总被引:3,自引:3,他引:0  
设计了光敏元尺寸18μm×18μm512(H)×512(V)光纤面板耦合CCD高帧速摄像器件,帧速为500帧/s。详细讨论器件多相加压(MPP)和常规工作模式的设计,给出了器件设计性能参数。该器件采用2μm,双层多晶硅和双层金属工艺制作。  相似文献   

7.
在对低噪声CMOS图像传感器的研究中,除需关注其噪声外,目前数字化也是它的一个重要的研究和设计方向,设计了一种可用于低噪声CMOS图像传感器的12 bit,10 Msps的流水线型ADC,并基于0.5μm标准CMOS工艺进行了流片。最后,通过在PCB测试版上用本文设计的ADC实现了模拟输出的低噪声CMOS图像传感器的模数转换,并基于自主开发的成像测试系统进行了成像验证,结果表明,成像画面清晰,该ADC可作为低噪声CMOS图像传感器的芯片级模数转换器应用。  相似文献   

8.
An airborne SAR real-time digital imaging processor is presented, and its realtime digital imaging principle and main technical parameters are analyzed briefly. The system configuration and logical structure are described in detail. Finally the main features of this system and examples of imagery obtained with the system are also presented.  相似文献   

9.
《现代电子技术》2017,(2):85-89
传统超高速数字图像实时存储系统的可靠性和存储效率均偏低,无法满足各领域的应用需求。因此,提出嵌入式超高速数字图像实时存储系统。该系统由开采模块、拆运模块、数据流缓冲模块、实时存储模块和计算机构成,计算机对前4个模块实施全程监管。开采模块进行超高速数字图像的获取和输出显示,并将采集到的超高速数字图像传输到拆运模块。拆运模块将内存较大的超高速数字图像拆分成30 MB/s的数据流,数据流会被转换成64 b数据并传输到数据流缓冲模块进行缓冲,以避免数据流出现传输拥堵和丢失,再通过实时存储模块中的LM014硬盘保存数据流。软件设计给出了实现使用者自主存储所需超高速数字图像的流程,以及计算机对系统硬件进行监管过程的关键代码设计。实验结果表明,所设计的系统拥有较高的可靠性和存储效率。  相似文献   

10.
This paper will review amorphous silicon imaging technology in terms of the detector operating principles, materials-related process issues, and electrical and optoelectronic characteristics. Also, issues pertinent to pixel stability will be presented along with optimization of materials and processing conditions for reduced parasitics and leakage current, and enhanced mechanical integrity. Selected results are shown for X-ray and optical detectors, and integrated pixel structures. Extension of the current fabrication processes to low (120 °C) temperature, enabling fabrication of flexible imaging array (on plastic) substrates, will also be discussed along with preliminary results in terms of static characteristics of the active matrix switch.  相似文献   

11.
A newly designed pulse frequency modulation photosensor for use in retinal prosthesis is proposed and demonstrated. The pixel converts the intensity of incident light into biphasic current pulses at frequencies suitable for the electrical stimulation of retinal neurons. Experimental results showed that the device was sensitive over a dynamic range of input light of about 120 dB, and that photosensitivity could be varied from 0 dB to around -40 dB.  相似文献   

12.
We propose a novel integration of image compression and sensing in order to enhance the performance of an image sensor. By integrating a compression function onto the sensor focal plane, the image signal to be read out from the sensor is significantly reduced and the pixel rate of the sensor ran consequently be increased. The potential applications of the proposed sensor are in high pixel-rate imaging, such as high frame-rate image sensing and high-resolution image sensing. The compression scheme we employ is a conditional replenishment, which detects and encodes moving areas. In this paper, we introduce two architectures for on-sensor compression; one is the pixel parallel approach and the other is the column parallel approach. We prototyped a VLSI chip of the proposed sensor based on the pixel parallel architecture. We show the design and describe the results of the experiments obtained by the prototype chip  相似文献   

13.
为了满足便携式X射线数字图像采集的需要,提出了基于DirectShow的数字图像采集实现的方法.通过编写相应代码来捕捉以USB2.0协议进行数据传输的CMOS图像传感器经光锥耦合像增强器后传送的图像信息,实验结果表明该图像采集模块是可行的、有效的.  相似文献   

14.
高速小目标成像系统中的探测器选型问题   总被引:1,自引:1,他引:1  
探测器作为成像系统设计的"眼睛",其性能直接关系到成像系统的优劣.以高速小目标成像系统为例,介绍了实际应用中探测器选型的方法.以一特定系统为例,重点从探测器扫描方式,探测器结构及信噪比等方面做了详细介绍,并最终确定Kodak的KAI-4021作为该系统的选择.在实际的系统设计中,探测器的选择还综合考虑了许多其他因素,未在文中详述.  相似文献   

15.
For pt.I, see ibid., vol.11, no.1, p.53.61 (1992). Based on the statistical properties of X-ray CT imaging given in pt.I, an unsupervised stochastic model-based image segmentation technique for X-ray CT images is presented. This technique utilizes the finite normal mixture distribution and the underlying Gaussian random field (GRF) as the stochastic image model. The number of image classes in the observed image is detected by information theoretical criteria (AIC or MDL). The parameters of the model are estimated by expectation-maximization (EM) and classification-maximization (CM) algorithms. Image segmentation is performed by a Bayesian classifier. Results from the use of simulated and real X-ray computerized tomography (CT) image data are presented to demonstrate the promise and effectiveness of the proposed technique.  相似文献   

16.
任宏  卜远壮  王茜  李玉  杜艳丽  弓巧侠  李金海  马凤英  苏建坡 《红外与激光工程》2020,49(10):20200022-1-20200022-6
菲涅耳非相干相关全息是一种能记录非相干物体全息图的新型技术,在生物医学成像和三维遥感领域具有重要应用前景。针对高光谱成像过程中图像融合配准困难的问题,文中利用空间光调制器的可编程特性,设计并制作了波长分别为492、562、672 nm的三组焦距恒定的双透镜相位掩模,依次调用三种波长的掩模并记录对应波长下物体的全息图。由于三色记录光经对应波长掩模调制后在CCD表面汇聚的光斑位置及尺寸均相同,因此,全息图重建后获得的重建图像具有相同的横向放大率,可提高图像融合时的配准精度,免去繁杂的光谱图像空间配准算法,真正实现了全息彩色成像的高精度配准和实时融合。采用该系统记录骰子的全息图,经数值重建及色彩融合后得到了颜色重建性较好的彩色三维像。  相似文献   

17.
引信X射线实时成像自动诊断系统设计   总被引:2,自引:0,他引:2  
为解决引信生产过程中的在线诊断问题,基于X射线成像技术和图像处理技术提出了适合在引信生产过程中对其重要零部件进行自动诊断的系统设计方案。本文设计的方案在引信生产诊断中得到了成功的应用。  相似文献   

18.
19.
周盈  赵辉  陶卫  张海波 《激光技术》2010,34(5):628-631
在激光三角位移测量中,为了减少由被测物体表面的反射特性以及测量环境的光干扰对测量的影响,提高测量精度,提出了一种新的图像传感器成像参量的自适应控制方法。推导了图像传感器的成像参量,详细地分析了这些参量对位移测量精度的影响,在理论分析的基础上提出了该方法,并通过实验的方法加以验证。结果表明,该方法能够根据外界环境变化自适应调整成像参量,有效地减小环境的干扰,提高位移测量的精度。  相似文献   

20.
DMD结合图像传感器的高动态场景成像探测   总被引:1,自引:1,他引:1  
微镜阵列DMD空间光调制器结合图像传感器能够解决高动态场景中强弱目标同时探测的问题,获得高动态图像数据,能使光电成像设备动态范围扩展到130 dB以上。为了实现快速的像素级光强控制以及获得高质量的实际场景高动态图像数据,根据实际场景成像其非边缘区域的相邻像素值变化缓慢的特点,提出了一种适合DMD驱动方式的像素级调光算法-自适应空间区域法,它具有较快的收敛速度,最坏的情况下,经过N/I次即可完成调光权值的搜索;在以FPGA为驱动核心的高动态场景成像探测实验系统平台上,对DMD的驱动时序进行了分析研究。并通过此实验平台验证了自适应空间区域法的有效性,该方法能够实现对高动态场景中强弱目标的同时探测,具有很好的光强控制质量,对场景光强局部变化的适应性较强。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号