首页 | 官方网站   微博 | 高级检索  
     

基于Verilog语言的帧同步的实现
引用本文:叶懋,景新幸,杨海燕.基于Verilog语言的帧同步的实现[J].系统仿真技术,2007,3(1):41-44.
作者姓名:叶懋  景新幸  杨海燕
作者单位:桂林电子科技大学通信与信息工程系,桂林,541004
摘    要:现代数字通信中往往采用数字复接技术扩大信息的传输容量并提高信道利用率。帧同步是数字复接系统中的重要部分。本文采用Verilog硬件描述语言给出了帧同步的提取方法,同时在Altera公司的QuartusⅡ5.1软件下进行了编译和仿真,成功地提取出了帧同步头和有效的输入数据。

关 键 词:帧同步  数字复接

Realization of Frame Synchronization Based on Verilog
YE Mao,JING Xinxing,YANG Haiyan.Realization of Frame Synchronization Based on Verilog[J].System Simulation Technology,2007,3(1):41-44.
Authors:YE Mao  JING Xinxing  YANG Haiyan
Abstract:
Keywords:Verilog
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号