首页 | 官方网站   微博 | 高级检索  
     

一种基于FPGA的MSK信号调制方法的设计与仿真
引用本文:侯银涛,罗永健,姜,平.一种基于FPGA的MSK信号调制方法的设计与仿真[J].广东电脑与电讯,2010,1(11):0-0.
作者姓名:侯银涛  罗永健    
作者单位:西安通信学院
摘    要:研究了MSK(最小频移键控调制)的基本理论,结合MSK信号调制原理,给出了一种基于FPGA的MSK信号调制方法,并在MAX+PLUS II采用VHDL语言编程实现了MSK信号调制方法的仿真,根据仿真结果,证明了MSK信号调制方法的正确性。

关 键 词:MSK  调制  FPGA  分频器  

Design and Simulation of a MSK signal Modulation Method Based on FPGA
Hou Yintao,Luo Yongjian,Jiang Ping.Design and Simulation of a MSK signal Modulation Method Based on FPGA[J].Computer & Telecommunication,2010,1(11):0-0.
Authors:Hou Yintao  Luo Yongjian  Jiang Ping
Abstract:In this article,the basic principle of Minimum Shift Keying system is studied with modulation of MSK.A design scheme of Minimum Shift Keying signal modulation based on FPGA is proposed.The whole design is implemented on FPGA based on the development platform MAX+PLUS II with VHDL source code.Based on the result of the simulation,the modulation of MSK signal is right.
Keywords:MSK  Modulation  FPGA  Frequency Divider  
本文献已被 万方数据 等数据库收录!
点击此处可从《广东电脑与电讯》浏览原始摘要信息
点击此处可从《广东电脑与电讯》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号