首页 | 官方网站   微博 | 高级检索  
     

部分响应CPM信号解调器的FPGA设计
引用本文:李燕斌,骆小谚,李寅博.部分响应CPM信号解调器的FPGA设计[J].通信技术,2011,44(2):13-15.
作者姓名:李燕斌  骆小谚  李寅博
作者单位:1. 中国电子科技集团公司第十研究所,通信事业部,四川,成都,610000
2. 电子科技大学,电子工程学院,四川,成都,610054
摘    要:设计并实现了一种基于FPGA的二进制连续相位调制(CMP)解调器。该解调器针对二进制部分响应调制方式,采用Viterbi译码方法进行解码。文中针对路径度量值随着译码序列增长可能发生溢出的现象,提出了一种新的防止路径度量值溢出方法。最后使用VHDL硬件描述语言将该解调器的设计进行实现,运用Modelsim仿真软件进行了功能仿真,并将仿真结果与MATLAB数据比较分析。该解调器的FPGA设计能够得到正确的解码结果,最终证明了该方法的有效性。

关 键 词:连续相位调制  现场可编程门阵列  Viterb译码

Design of Partial Responses CPM Demodulator based on FPGA
LI Yan-bin,LUO Xiao-yan,LI Yin-bo.Design of Partial Responses CPM Demodulator based on FPGA[J].Communications Technology,2011,44(2):13-15.
Authors:LI Yan-bin  LUO Xiao-yan  LI Yin-bo
Affiliation:①(①The 10th Reasearch Institude of CETC,Chengdu Sichuan 610000,China; ②School of Electronic Engneering,UESTC,Chengdu Sichuan 610054,China)
Abstract:
Keywords:continual phase modulation(CMP)  FPGA  Viterbi decoder  
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号