首页 | 官方网站   微博 | 高级检索  
     

基于FPGA的DDS正弦信号发生器设计
引用本文:陈章余.基于FPGA的DDS正弦信号发生器设计[J].电子游戏软件,2014(12):136-136.
作者姓名:陈章余
作者单位:南京工程高等职业学校;
摘    要:本文设计了一款输出频率0.1Hz-5MHz、不失真幅度100mV-3V的正弦波信号发生器。利用FPGA的DDS IP核配置正弦数字信号产生电路,数字信号经14位高速D/A芯片DAC904输出单端模拟电流,再经OPA820转为双端电压信号,用VCA810实现幅值可控,输出端用THS3091设计功率放大电路实现对负载的驱动。采用无源滤波网络滤波实现高频信号滤除。本设计在同类电路中做到了精准、稳定、高效。

关 键 词:正弦波发生器  DDS  DAC904  VCA810
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号